掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Design and Process Integration for Microelectronic Manufacturing III
Design and Process Integration for Microelectronic Manufacturing III
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
The prospects for hierarchical data processing with growing complexity of the post-tapeout flow
机译:
磁带输出后流程的复杂性越来越高的分层数据处理的前景
作者:
Steffen Schulze
;
Emile Sahouria
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
mask data preparation;
hierarchical processing;
distributed;
multithreaded;
OASIS;
OASIS.VSB;
2.
Toward Through-Process Layout Quality Metrics
机译:
迈向全流程布局质量指标
作者:
Fook-Luen Heng
;
Jin-Fuw Lee
;
Puneet Gupta
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
3.
Optical extensions integration for a 0.314μm~2 45nm node 6-transistor SRAM cell
机译:
用于0.314μm〜2 45nm节点6晶体管SRAM单元的光扩展集成
作者:
Staf Verhaegen
;
Axel Nackaerts
;
Vincent Wiaux
;
Eric Hendrickx
;
Geert Venberghe
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
4.
Process-Window Sensitive Full-Chip Inspection for Design-to-Silicon Optimization in the Sub-Wavelength Era
机译:
亚波长时代设计到硅优化的过程窗口敏感全芯片检查
作者:
MaryJane Brodsky
;
Scott Halle
;
Vickie Jophlin-Gut
;
Lars Liebmann
;
Don Samuels
;
Gary Crispo
;
Kourosh Nafisi
;
Vijay Ramani
;
Ingrid Peterson
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
process window verification and qualification;
design for manufacturing;
brightfield imaging wafer inspection techniques;
5.
New OPC methods to increase process margin for sub-70nm devices
机译:
新的OPC方法可提高70nm以下器件的工艺裕度
作者:
Ji-Suk Hong
;
Dong-Hyun Kim
;
Sang-Wook Kim
;
Moon-Hyun Yoo
;
Jeong-Taek Kong
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
model-based OPC;
process margin;
lithography;
OPC-friendly layout;
retargeting;
6.
Mask Cost Reduction and Yield Optimization using Design Intent
机译:
使用设计意图降低掩模成本并优化良率
作者:
Michel Cote
;
Alexer Miloslavsky
;
Philippe Hurat
;
Mike Rieger
;
Denis Goinard
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
DFM;
OPC;
yield;
design intent;
mask;
timing;
cost;
7.
Modeling Within-Field Gate Length Spatial Variation for Process-Design Co-Optimization
机译:
为过程设计共同优化建模场内闸门长度空间变化
作者:
Paul Friedberg
;
Yu Cao
;
Jason Cain
;
Ruth Wang
;
Jan Rabaey
;
Costas Spanos
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
spatial correlation;
process control;
gate length variation;
circuit performance;
variability;
8.
Model-Based Verification for First Time Right Manufacturing
机译:
首次权利制造的基于模型的验证
作者:
James A. Bruce
;
Edward W. Conrad
;
Gregory J. Dick
;
D. John Nickel
;
Jacek G. Smolinski
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
OPC;
optical proximity correction;
verification;
simulation;
9.
Lithography Yield Checking for IC Design
机译:
IC设计的光刻产量检查
作者:
Lynn Cai
;
Ting Chen
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
layout yield analysis;
lithography yield check;
process window analysis;
layout optimization;
OPC optimization;
10.
Line End Design Intent Estimation Using Curves
机译:
使用曲线的线端设计意图估计
作者:
Chi-Yuan Hung
;
Gensheng Gao
;
Steven Zhang
;
Ze-Xi Deng
;
Christopher Cork
;
Lawrence S. Melvin III
;
Yan Jiang
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
OPC;
interpretation filter;
design intent;
corner rounding;
design for manufacturing;
11.
Design Process Optimization, Virtual Prototyping of Manufacturing Foundry-portable DFM
机译:
设计过程优化,制造和铸造便携式DFM的虚拟原型
作者:
James Hogan
;
Christopher Progler
;
Ahmad Chatila
;
Bert Bruggeman
;
Mitchell Heins
;
Robert Pack
;
Victor Boksha
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
12.
Considerations for the Use of Defocus Models for OPC
机译:
在OPC中使用散焦模型的注意事项
作者:
John L. Sturtevant
;
J. A. Torres
;
J. Word
;
Y. Granik
;
P. LaCour
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
model based OPC;
process window;
ORC;
DFM;
DOf;
13.
Correlation analysis of CD-variation and circuit performance under multiple sources of variability
机译:
多变量来源下CD变量与电路性能的相关性分析
作者:
Amir Borna
;
Chris Progler
;
David Blaauw
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
circuit performance;
critical paths;
CD variation;
static timing analyzer;
14.
Design and Process Limited Yield at the 65nm Node and Beyond
机译:
65nm节点及更高节点的设计和工艺出品率有限
作者:
Kevin Monahan
;
Brian Trafas
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design for manufacturability;
DFM;
advanced process control;
APC;
spectroscopic ellipsometry;
electron microscopy;
grating-based overlay;
immersion lithography;
simulation;
yield;
15.
Investigation of Model-Based Physical Design Restrictions
机译:
基于模型的物理设计限制的调查
作者:
Kevin Lucas
;
Stanislas Baron
;
Jerome Belledent
;
Robert Boone
;
Amine Borjon
;
Christophe Couderc
;
Kyle Patterson
;
Lionel Riviere-Cazaux
;
Yves Rody
;
Frank Sundermann
;
Olivier Toublan
;
Yorick Trouiller
;
Jean-Christophe Urbani
;
Karl Wimmer
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
OPC;
RET;
DRC;
lithography;
design rules;
model-based physical verification;
16.
Investigating a Lithography Strategy for Diagonal Routing Architecture at Sub-100nm Technology Nodes
机译:
研究低于100nm技术节点的对角布线架构的光刻策略
作者:
Li Song
;
Ting Chen
;
Santosh Shah
;
Ketan Joshi
;
Kalyan Thumaty
;
Narain Arora
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
X architecture;
diagonal wiring;
RET;
off-axis illumination;
process window;
CD uniformity;
17.
Introduction of a Die-to-Database Verification Tool for the Entire Printed Geometry of a Die ― Geometry Verification System NGR2100 for DFM
机译:
引入用于模具的整个印刷几何的模具到数据库验证工具-用于DFM的几何验证系统NGR2100
作者:
Tadashi Kitamura
;
Kazufumi Kubota
;
Toshiaki Hasebe
;
Futoshi Sakai
;
Shinichi Nakazawa
;
Neeti Vohra
;
Masahiro Yamamoto
;
Masahiro Inoue
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
entire die;
image;
geometry;
target CAD data;
verification;
DFM;
18.
Integrated Circuit DFM Framework for Deep Sub-Wavelength Processes
机译:
用于深亚波长过程的集成电路DFM框架
作者:
J. A. Torres
;
C. N. Berglund
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
DFM;
microlithography;
litho-compliant;
RET-compliant;
timing analysis;
process models;
OPC;
19.
Advanced Timing Analysis Based on Post-OPC Patterning Process Simulations
机译:
基于后OPC图案化过程仿真的高级时序分析
作者:
Jie Yang
;
Luigi Capodieci
;
Dennis Sylvester
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
OPC;
DFM;
layout;
process variation;
design flow;
timing analysis;
20.
Building an Infrastructure for Parametric Yield Analysis: Concept and Implementation of a DFM Platform
机译:
建立用于参数化收益率分析的基础架构:DFM平台的概念和实现
作者:
John Gookassian
;
Bob Pack
;
Mitch Heins
;
John Garcia
;
Hitendra Divecha
;
Brian Gordon
;
Dean Frazier
;
Dan White
;
Gurgen Lachinyan
;
Brian Dillon
;
Christophe Suzor
;
Anthony Adamov
;
Kyung-Youl Min
;
Sergei Bakarian
;
Rafik Marutyan
;
Victor Boksha
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
21.
Assessing the Impact of Real World Manufacturing Lithography Variations on post-OPC CD Control
机译:
评估实际制造光刻技术变化对OPC后CD控制的影响
作者:
John L. Sturtevant
;
J. Word
;
P. LaCour
;
J. W. Park
;
D. Smith
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
model based OPC;
process window;
ORC;
DFM;
DOF;
misalignment;
aberrations;
flare;
22.
A Novel Design-Process Optimization Technique Based on Self-Consistent Electrical Performance Evaluation
机译:
基于自洽电气性能评估的设计过程优化新技术
作者:
Valery Axelrad
;
rei Shibkov
;
Gene Hill
;
Hung-Jen Lin
;
Cyrus Tabery
;
Dan White
;
Victor Boksha
;
Ry Thilmany
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
23.
Full-Chip Lithography Simulation and Design Analysis - How OPC is changing IC Design
机译:
全芯片光刻仿真和设计分析-OPC如何改变IC设计
作者:
Chris Spence
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
24.
Process Centering OPC using Design Intent to Improve Yield
机译:
使用设计意图提高产量的过程居中OPC
作者:
Michel Cote
;
Alex Miloslavsky
;
Robert Lugg
;
Mike Rieger
;
Philippe Hurat
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
DFM;
OPC;
yield;
design intent;
mask;
25.
Mask Cost Analysis via Write Time Estimation
机译:
通过写时间估计进行掩模成本分析
作者:
Yuan Zhang
;
Rick Gray
;
Seurien Chou
;
Barry Rockwell
;
Guangming Xiao
;
Henry Kamberian
;
R Cottle
;
Alex Wolleben
;
Chris Progler
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
mask write time;
design optimization;
vertex;
CATS;
OPC;
26.
MAID - Manufacturing Aware IC Design
机译:
MAID-具有制造意识的IC设计
作者:
Louis K. Scheffer
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
DFM;
yield;
IC design;
lithography;
lithographic yield;
critical area;
via yield;
27.
Manufacturing-aware design methodology for assist feature correctness
机译:
制造辅助设计正确性的制造感知设计方法
作者:
Puneet Gupta
;
rew B. Kahng
;
Chul-Hong Park
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
28.
Lithography Simulation System for Total CD Control from Design to Manufacturing
机译:
从设计到制造的全CD控制光刻模拟系统
作者:
Toshiya Kotani
;
Hirotaka Ichikawa
;
Sachiko Kobayashi
;
Shigeki Nojima
;
Kyoko Izuha
;
Satoshi Tanaka
;
Soichi Inoue
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design rule;
DfM;
OPC;
RET;
manufacturability check;
lithography simulation system;
hot spot;
empirical lithography model;
concurrent development;
29.
Inspection of Integrated Circuit Databases through Reticle and Wafer Simulation: An Integrated Approach to Design for Manufacturing (DFM)
机译:
通过掩模版和晶圆仿真检查集成电路数据库:一种集成的制造设计方法(DFM)
作者:
William Howard
;
Jaione Tirapu Azpiroz
;
Yalin Xiong
;
Chris Mack
;
Gaurav Verma
;
William Volk
;
Harold Lehon
;
Yunfei Deng
;
Rui-fang Shi
;
James Culp
;
Scott Mansfield
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
designScan;
DFM;
process window;
PROLITH;
simulation;
30.
Detecting Focus-Sensitive Configurations During OPC
机译:
在OPC期间检测对焦点敏感的配置
作者:
Lawrence S. Melvin III
;
James P. Shiely
;
Qiliang Yan
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
process window;
process window optimization;
process variation;
OPC;
31.
Design Rule Considerations for 65 nm Node Contact Using Off Axis Illumination
机译:
使用离轴照明的65 nm节点接触的设计规则注意事项
作者:
Scott Jessen
;
Mark Mason
;
Sean OBrien
;
Mark Terry
;
Robert Soper
;
Thomas Wolf
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
contact hole;
low-kl imaging;
Arf;
32.
Design of Integrated-Circuit Interconnects with Accurate Modeling of Chemical-Mechanical Planarization
机译:
具有化学机械平面化的精确建模的集成电路互连设计
作者:
Lei He
;
rew B. Kahng
;
King Ho Tam
;
Jinjun Xiong
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
33.
DFM in Practice - Results of a three way Partnership between a Leading Fabless Design House, Foundry, and EDA Company to Implement Alternating-Phase Shift Mask (Alt-PSM) on a 90nm FPGA Chip
机译:
实际中的DFM-领先的无晶圆厂设计公司,代工厂和EDA公司之间的三方合作关系的结果,以在90nm FPGA芯片上实现交替相移掩模(Alt-PSM)
作者:
Chun-Chi Yu
;
Ming-Feng Shieh
;
Erick Liu
;
Benjamin Lin
;
Henry Lin
;
Manoj Chacko
;
Xiaoyang Li
;
Wen-Kang Lei
;
Jonathan Ho
;
Xin Wu
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
phase-shifting mask (alt-PSM);
phase conflict;
DFM;
90nm node;
CD control;
34.
Device and lithography contextual mask rule generation
机译:
设备和光刻上下文遮罩规则生成
作者:
Young Mog Ham
;
Brian Dillon
;
Chris Progler
;
Kory Goldammer
;
Zhiziang Jin
;
Gary Green
;
R. Scott Mackay
;
Hitendra Divecha
;
Victor Boksha
;
Pat Martin
;
Mitch Heins
;
Yuan Zhang
;
Kurt Davis
;
Rafik Marutyan
;
Karen Martirosyan
;
Sergei Bakarian
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
mask rule;
OPC;
analysis;
RET;
manufacturability;
DFM;
specification;
design rule;
35.
Evaluating Design for Manufacturing with Process Capability Analysis
机译:
通过过程能力分析评估制造设计
作者:
Johannes van Wingerden
;
Laurent Le Cam
;
Manish Garg
;
Yuri Aksenov
;
Peter Dirksen
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
optical lithography;
process optimisation;
process latitudes;
design for manufacturing;
litho driven design;
process capability;
C_(pk);
36.
Exploiting hierarchical structure to enhance cell-based RET with localized OPC reconfiguration
机译:
利用分层结构通过局部OPC重新配置来增强基于单元的RET
作者:
Xin Wang
;
Mark Pilloff
;
Hongbo Tang
;
Clive Wu
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
OPC;
optical proximity correction;
RET;
resolution enhancement;
OPC reconfiguration;
37.
Geometrical analysis of product layout as a powerful tool for DFM
机译:
产品布局的几何分析是DFM的强大工具
作者:
Thomas Roessler
;
Joerg Thiele
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design for manufacturability;
design rules;
critical dimension control;
geometrical analysis;
38.
Full Chip Gate CD Error Prediction for Model-based OPC
机译:
基于模型的OPC的全芯片门CD错误预测
作者:
Vincent Yongsheng Shu
;
Byoung Il Choi
;
Shyue-Fong Quek
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
full chip verification;
design-to-silicon flow;
model-based;
OPC;
ORC;
lithography;
CD variation;
39.
Integrating DfM Components Into a Cohesive Design-To-Silicon Solution
机译:
将DfM组件集成到内聚设计到硅解决方案中
作者:
Lars Liebmann
;
Dan Maynard
;
Kevin McCullen
;
Nakgeuon Seong
;
Ed Buturla
;
Mark Lavin
;
Jason Hibbeler
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design for manufacturability (DfM);
resolution enhancement techniques (RET);
physical design characterization (PDC);
critical area analysis (CAA);
restricted design rules (RDR);
glyph-based layout;
40.
Integrating RET and Mask Manufacturability in Memory Designs for local interconnect for sub-100nm trenches
机译:
将RET和掩模可制造性集成到存储器设计中,以实现100nm以下沟槽的局部互连
作者:
Nishrin Kachwala
;
Walter Iolo
;
Travis Brist
;
Rick Farnbach
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design for manufacturing;
interconnect;
trenches;
OPC;
PSM;
RET;
41.
Improving Model-Based OPC Performance for the 65nm Node Through Calibration Set Optimization
机译:
通过校准集优化提高基于65nm节点的基于模型的OPC性能
作者:
Kyle Patterson
;
Yorick Trouiller
;
Kevin Lucas
;
Jerome Belledent
;
Amine Borjon
;
Yves Rody
;
Christophe Couderc
;
Frank Sundermann
;
Jean-Christophe Urbani
;
Stanislas Baron
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
model-based OPC;
variable threshold resist model;
42.
65nm OPC and design optimization by using simple electrical transistor simulation
机译:
通过简单的电晶体管仿真进行65nm OPC和设计优化
作者:
Yorick Trouiller
;
Thierry Devoivre
;
Jerome Belledent
;
Franck Foussadier
;
Amine Borjon
;
Kyle Patterson
;
Kevin Lucas
;
Christophe Couderc
;
Frank Sundermann
;
Jean-Christophe Urbani
;
Stanislas Baron
;
Yves Rody
;
Jean-Damien Chapon
;
Franck Arnaud
;
Jorge Entr
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design;
lithography;
OPC;
DFM;
gate 65nm;
43.
WAMA™ - a method of optimizing reticle/die placement to increase litho cell productivity
机译:
WAMA™-一种优化标线/模具放置以提高光刻细胞生产率的方法
作者:
Amos Dor
;
Yoram Schwarz
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
yield improvement;
lithography;
throughput;
productivity;
placement;
reticle;
shotmap;
scanner and stepper;
44.
Using yield-focused design methodologies to speed time-to-market
机译:
使用以收益为中心的设计方法来加快上市时间
作者:
Marc Levitt
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
关键词:
design-for-manufacturability;
DFM;
yield enhancement;
design methodologies;
time-to-market;
silicon-aware design automation technology;
design and process integration;
45.
Lithographic technologies that haven't (yet) made it; lessons learned
机译:
尚未实现的光刻技术;得到教训
作者:
R. Fabian Pease
会议名称:
《Design and Process Integration for Microelectronic Manufacturing III》
|
2005年
意见反馈
回到顶部
回到首页