掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Advances in Resist Technology and Processing XXIII pt.2
Advances in Resist Technology and Processing XXIII pt.2
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
中国集成电路
雷达科学与技术
电子工程信息
电子技术应用
卫星电视与宽带多媒体
福光技术
UPS应用
信息产业报道
电信交换
光子技术
更多>>
相关外文期刊
L'Onde Electrique
EDN Asia
Bell Labs Technical Journal
IEEE Transactions on Signal Processing
Journal of Communications Technology and Electronics
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
Elektor Electronics
International Journal of Ultra Wideband Communications and Systems
Communications and Networks, Journal of
International journal of systems,control and communications
更多>>
相关中文会议
2006国际有线电视技术研讨会
第三届红外成像系统仿真测试与评价技术研讨会
2014全国第十届精密工程学术研讨会(PES10`14)
2009年度城市公用事业IC卡应用和技术发展研讨会暨城市通卡发展年会
2014年第十六届全国消费电子技术年会暨海峡两岸数字电视研讨会
上海市红外与遥感学会2006年学术年会
第十五届全国电子陶瓷、陶瓷——金属封接会议暨2015年真空电子与专用金属材料分会和电子陶瓷年会
第四届电子产品可靠性与环境试验技术经验交流会
第二十一届中国国际广播电视信息网络展览会(CCBN2013)
2011国际传输与覆盖研讨会
更多>>
相关外文会议
International Conference on Spatial Information Theory(COSIT 2005); 20050914-18; Elliottville,NY(US)
Reimagining Telecoms
Third IEE conference on telecommunications
1st VLDB Workshop on Efficiency and Effectiveness of XML Tools and Techniques (EEXTT 2002) and 2nd Workshop on Data Integration over the Web (DIWeb 2002), 2002
SAR Image Analysis, Modeling, and Techniques VIII; Proceedings of SPIE-The International Society for Optical Engineering; vol.6363
International Symposium on Plasma Processing XIII, May 14-19, 2000, Toronto, Canada
High-power lasers 2012: technology and systems
2014 International Conference on Wireless Communication and Sensor Network
Third International Workshop on Telecommunications and Beyond: the Broader Applicability of SDL and MSC SAM 2002 , Jun 24-26, 2002, Aberystwyth, UK
Emerging Patterning Technologies
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
共
79
条结果
1.
Wet-recess process optimization of a developer-soluble gap-fill material for planarization of trenches in trench-first dual damascene process
机译:
沟槽优先双镶嵌工艺中用于平坦化沟槽的显影剂可溶间隙填充材料的湿式凹陷工艺优化
作者:
Carlton Washburn
;
Nick Brakensiek
;
Alice Guerrero
;
Kevin Edwards
;
Charlyn Stroud
;
Nicki Chapman
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
wet gap fill (WGF);
dual damascene (DD);
bias;
trench-first;
low-k;
2.
Stability of Photo Resist Coating Performance of Small Dispense Nozzle Size in Photolithographic Spin Coating Process
机译:
光刻旋涂工艺中小点胶喷嘴光刻胶涂层性能的稳定性
作者:
Xiao Li
;
Tom Lehmann
;
Warren Greene
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
photo resist coating;
photo resist consumption;
resist dispense;
resist viscosity;
dispense nozzle;
3.
Studies of the Mechanism for Immersion Specific Defects
机译:
浸入特定缺陷机理的研究
作者:
Takeo Ishibashi
;
Tetsuro Hanawa
;
Toshifumi Suganaga
;
Koichiro Narimatsu
;
Kazuyiki Suko
;
Mamoru Terai
;
Teruhiko Kumada
;
Tomoyuki o
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ArF immersion lithography;
pattern defect;
droplet watermark;
topcoat;
chemical surface analyses;
4.
Self Aligned Direct Write of a Double Sided Transistor Gate on Membrane Using an Evaporated E-Beam Resist
机译:
使用蒸发的电子束电阻在膜上自动对准双面晶体管栅极
作者:
Jacques Beauvais
;
Prasad Kelkar
;
Eric Lavallee
;
Dominique Drouin
;
Kien Mun Lau
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
electron beam lithography;
conformal resist;
membrane patterning;
self-aligned gate patterning;
5.
Thin bilayer resists approach for 193nm and future photolithography
机译:
薄双层抗蚀剂可用于193nm和未来的光刻技术
作者:
Yoshi Hishiro
;
Michael Hyatt
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
bilayer resist;
Si-containing resist;
193nm;
photolithography;
6.
Use of Direct Washing of Chemical Dispense Nozzle for Defect control
机译:
使用直接冲洗化学分配喷嘴来控制缺陷
作者:
Michael Linnane
;
George Mack
;
Christopher Longstaff
;
Thomas Winter
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
dispense nozzle;
coating defects;
solvent bath;
particle defects;
nozzle cleaning;
7.
Resists for sub-100 nm patterning at 193 nm exposure
机译:
可以在193 nm曝光下进行100 nm以下的图案化
作者:
N. D. Jarnagin
;
K. E. Gonsalves
;
M. X. Wang
;
J. M. Roberts
;
W. Yeuh
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
193-nm lithography;
polymer bound photoacid generator (PAG);
8.
Resist Dissolution Behavior according to Protecting Group in Polymer
机译:
根据聚合物中的保护基抵抗溶解行为
作者:
Kwanghwyi Im
;
Jin Jegal
;
Jungkook Park
;
Deogbae Kim
;
Jaehyun Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
chemically amplified resist;
dissolution behavior;
deprotecting reaction;
bulkiness;
activation energy;
9.
Reducing bottom anti-reflective coating (BARC) defects: Optimizing and decoupling the filtration and dispense process
机译:
减少底部抗反射涂层(BARC)的缺陷:优化和分离过滤和分配过程
作者:
Nickolas L. Brakensiek
;
Gary Martin
;
Sean Simmons
;
Traci Batchelder
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ArF;
KrF;
bottom anti-reflective coating;
BARC;
coating;
photolithography;
defects;
filtration;
dispense;
10.
Negative Nanomolecular Resists Based on Calix4 resocinarene
机译:
基于杯4邻苯二烯烯的负性纳米分子抗蚀剂
作者:
Tae-Hwan Oh
;
Ramakrishnan Ganesan
;
Je-Moon Yoon
;
Jin-Baek Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
calix4resocinanrene;
nanomolecular resists;
DUV lithography;
chemically amplified photoresist;
11.
New developer-soluble gap-fill material with fast plasma etch rate
机译:
具有快速等离子蚀刻速率的新型可溶于显影剂的间隙填充材料
作者:
Anwei Qin
;
Daniel M. Sullivan
;
Runhui Huang
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
wet gap-fill (WGF);
dual damascene (DD);
via;
iso/dense bias;
process window;
planarization;
etch;
low-k;
12.
Linewidth Roughness Reduction at the 55 nm Node Through Combination of Classical Process Optimization and Application of Surface Conditioner Solutions
机译:
结合经典工艺优化和表面调节剂解决方案的应用,降低了55 nm节点的线宽粗糙度
作者:
Patrick Wong
;
Wendy Gehoel
;
Stephan Sinkwitz
;
Peng Zhang
;
Manuel Jaramillo Jr.
;
Madhukar B. Rao
;
Bridget Horvath
;
Brenda Ross
;
Shawn Cassel
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
surface conditioner;
ArF;
LWR;
hard bake (HB);
process optimization;
process window;
55 nm dense lines;
13.
Laser-induced Oxidation of Metallic Thin Films as a Method for Creating Grayscale Photomasks
机译:
金属薄膜的激光诱导氧化作为创建灰度光掩模的方法
作者:
Glenn H. Chapman
;
Yuqiang Tu
;
Chinheng Choo
;
Jun Wang
;
David K. Poon
;
Marian Chang
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
microlithography;
grayscale photomask;
MEMS;
laser-induced oxidation;
direct-write photomask;
14.
Microlens formation using heavily dyed photoresist in a single step
机译:
在一步中使用重染色的光刻胶形成微透镜
作者:
Chris Cox
;
Curtis Planje
;
Nick Brakensiek
;
Zhimin Zhu
;
Jonathan Mayo
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
microlens;
photoresist;
array;
reflow;
dye;
15.
Molecular Glass Resists for EUV Lithography
机译:
EUV光刻的分子玻璃抗蚀剂
作者:
Anuja De Silva
;
Drew Forman
;
Christopher K. Ober
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
molecular glass resists;
EUV;
glass transition temperature;
chemical amplification;
16.
Monodisperse Nanocarriers: Novel Fabrication of Polymeric Nanoparticles for Bio-Nanotechnology
机译:
单分散纳米载体:用于生物纳米技术的聚合物纳米颗粒的新型制备。
作者:
Larken E. Euliss
;
Christopher M. Welch
;
Benjamin W. Maynor
;
Jason P. Holl
;
Ginger M. Denison
;
Stephanie E. Gratton
;
Ji-Young Park
;
Ashish A. Pya
;
Elizabeth L. Enlow
;
Rudolph L. Juliano
;
Klaus M. Hahn
;
Joseph M. DeSimone
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
nanoparticle;
imprint lithography;
drug delivery;
functionalized nanocarriers;
17.
Monitoring Photoresist Dissolution in Supercritical Carbon Dioxide Using a Quartz Crystal Microbalance
机译:
使用石英晶体微量天平监测超临界二氧化碳中的光刻胶溶解
作者:
Amy E. Zweber
;
Ruben G. Carbonell
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
quartz crystal microbalance;
carbon dioxide;
lithography;
development;
image collapse;
18.
Fundamental studies of the properties of photoresists based on resins containing polymer-bound photoacid generators
机译:
基于含聚合物键合光酸发生剂的树脂的光致抗蚀剂性能的基础研究
作者:
Cheng-Tsung Lee
;
Nathan D. Jarnagin
;
Mingxing Wang
;
Kenneth E. Gonsalves
;
Jeanette M. Roberts
;
Wang Yueh
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
19.
Gas-Phase Fluorination of Resist for Improving Line-End Fullback during Etch
机译:
抗蚀剂的气相氟化可改善蚀刻期间的线端后背
作者:
Richard D. Peters
;
Patrick K. Montgomery
;
Phillip J. Stout
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
193nm;
resist;
fluorination;
line-end pullback;
gate;
etch;
20.
Extending i-line Capabilities through Variance Characterization and Tool Enhancements
机译:
通过方差特征描述和工具增强功能扩展i-line功能
作者:
Dan Miller
;
Adrian Salinas
;
Joel Peterson
;
David Vickers
;
Dan Williams
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
21.
Formulated Surface Conditioners to Enhance the Non-collapse Window and Maintain Defect Control: A Bi-functional Approach for Sub-100-nm Lithography
机译:
制定了表面调节剂,以增强无塌陷窗口并保持缺陷控制:用于100 nm以下光刻的双功能方法
作者:
Masakazu Sanada
;
Minoru Sugiyama
;
Manuel Jaramillo Jr.
;
Peng Zhang
;
Shawn Cassel
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
surface conditioner;
pattern collapse;
defectivity;
process window;
CD;
CDU;
22.
Evaluation of Most Recent Chemically Amplified Resists for High Resolution Direct Write Using a Leica SB350 Variable Shaped Beam Writer
机译:
使用Leica SB350可变形状光束写入器评估最新化学放大的抗蚀剂以实现高分辨率的直接写入
作者:
Anatol Schwersenz
;
Dirk Beyer
;
Monika Boettcher
;
Kang-Hoon Choi
;
Ulrich Denker
;
Christoph Hohle
;
Mathias Irmscher
;
Frank-Michael Kamm
;
Karl-Heinz Kliem
;
Johannes Kretz
;
Holger Sailer
;
Frank Thrum
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
chemically amplified resist;
variable-shaped beam;
e-beam direct writing;
23.
Defectivity Reduction by Optimization of 193-nm Immersion Lithography using an Interfaced Exposure - Track System
机译:
通过使用界面曝光-跟踪系统优化193 nm浸没光刻技术来减少缺陷
作者:
Michael Carcasi
;
Shinichi Hatakeyama
;
Kathleen Nafus
;
Richard Moerman
;
Youri van Dommelen
;
Peter Huisman
;
Joshua Hooge
;
Steven Scheer
;
Philippe Foubert
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
immersion;
pre-rinse;
post-rinse;
swelling;
bridge;
watermark;
topcoat;
24.
Copolymer fraction effect on acid catalyzed deprotection reaction kinetics in model 193 nm photoresists
机译:
共聚物分数对193 nm模型光致抗蚀剂中酸催化的脱保护反应动力学的影响
作者:
Shuhui Kang
;
Vivek M. Prabhu
;
Bryan D. Vogt
;
Eric K. Lin
;
Wen-li Wu
;
Karen Tumquest
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
photolithography;
photoresists;
diffusion;
reaction rate constants;
FTIR;
copolymer;
photoacid;
PAG;
25.
Contributions to Innate Material Roughness in Resist
机译:
固有抗蚀剂对材料粗糙度的贡献
作者:
Jeanette M. Roberts
;
Robert Meagley
;
Theodore H. Fedynyshyn
;
Roger F. Sinta
;
David K. Astolfi
;
Russell B. Goodman
;
Alberto Cabral
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
resist;
materials;
roughness;
EUV;
LER;
LWR;
IMR;
AFM;
PAG;
26.
Development of EUV Resists in Supercritical CO_2 Solutions Using CO_2 Compatible Salts (CCS): Results From a Two Level Full Factorial Design of Experiments (DOE)
机译:
在使用CO_2兼容盐(CCS)的超临界CO_2溶液中开发EUV抵抗剂:来自两级全因子实验设计(DOE)的结果
作者:
Mark Wagner
;
James DeYoung
;
Chris Harbinson
;
Merrick Miles
会议名称:
《》
|
2006年
关键词:
EUV;
CO_2 compatible salts;
CCS;
DOE;
lithography;
aspect ratio;
LWR;
LER;
27.
Development of 193-nm wet BARCs for implant applications
机译:
开发用于植入应用的193 nm湿BARC
作者:
Jim Meador
;
Carol Beaman
;
Joyce Lowes
;
Carlton Washburn
;
Ramil Mercado
;
Mariya Nagatkina
;
Charlyn Stroud
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
anti-reflective;
BARC;
wet-developable;
implant;
193-nm microlithography;
28.
A Novel Photosensitive Material for Redistribution and Stress Buffer Reduction on 300 mm Wafers
机译:
用于在300 mm晶圆上重新分布和减少应力缓冲的新型光敏材料
作者:
Warren W. Flack
;
Ha-Ai Nguyen
;
Elliott Capsuto
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
advanced packaging;
flipchip;
thick resist;
low temperature cure;
RDL;
stress buffer;
siloxane;
300 mm wafers;
29.
Acidolysis Small Molecular Phenolic Ether Used as Accelerator in Photosensitive Diazonaphthaquinone Systems
机译:
酸解小分子酚醚在光敏重氮萘醌体系中用作促进剂
作者:
Haihua Zhou
;
Yingquan Zou
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
diazonaphthaquinone;
photosensitivity;
anti-alikali property;
anti-isopropyl alcohol property;
30.
A universal process development methodology for complete removal of residues from 300mm wafer edge bevel
机译:
一种通用的工艺开发方法,可以完全去除300mm晶圆边缘斜面中的残留物
作者:
Mai Rall
;
Michael Linnane
;
Chris Longstaff
;
Kenichi Ueda
;
Tom Winter
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
'flake' type contamination;
wafer bevel cleaning;
post apply residues;
defects;
wafer backside cleaning;
31.
A lamp thermoelectricity based integrated bake/chill system for advanced photoresist processing
机译:
基于灯热电的集成烘烤/冷却系统,用于高级光刻胶处理
作者:
Arthur Tay
;
Hui-Tong Chua
;
Xiaodong Wu
;
Yuheng Wang
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
32.
Topside Anti-reflective Coating Process and Productivity Improvements on KrF Lithography
机译:
KrF平版印刷的顶部抗反射涂层工艺和生产率的提高
作者:
Terri Couteau
;
Michael Carcasi
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
topside anti-reflective coating;
defects;
dark loss;
productivity;
micro-bubble;
surfactant;
flares;
33.
Thermal Effects Study of Chemically Amplified Resist
机译:
化学放大电阻的热效应研究
作者:
Sang-Kon Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
lithography;
lithography simulation;
chemically amplified resist;
thermal process;
soft bake;
post exposure bake;
thermal reflow;
34.
Versatility in Lithographic Performance of Advanced 193 nm Contact Hole Resist
机译:
先进的193 nm接触孔抗蚀剂的光刻性能的多功能性
作者:
Takanori Kudo
;
Guanyang Lin
;
Dongkwan Lee
;
Dalil Rahman
;
Allen Timko
;
Douglas Mckenzie
;
Clement Anyadiegwu
;
Simon Chiu
;
Frank Houlihan
;
David Rentkiewicz
;
Ralph R. Dammel
;
Munirathna Padmanaban
;
John Biafore
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
193nm resist;
contact hole;
circularity;
sidewall roughness;
PEB sensitivity;
shrinkage;
thermal flow;
prolith™simulation;
RELACS™AZ~reg;
AX™2050P;
35.
Synthesis of Photobleachable Deep UV Resists Based on Single Component Nonchemically Amplified Resist System
机译:
基于单组分非化学放大抗蚀剂体系的光致漂白深紫外线抗蚀剂的合成
作者:
Kyoung-Seon Kim
;
Su-Min Kim
;
Ji-Young Park
;
Jin-Baek Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
deep UV;
diazoketo;
lithography;
photobleaching;
photoresists;
36.
Study of dynamical formation and shape of microlenses formed by the reflow method
机译:
回流法形成微透镜的动力学形成和形状研究
作者:
S. Audran
;
B. Faure
;
B. Mortini
;
C. Aumont
;
R. Tiron
;
C. Zinck
;
Y. Sanchez
;
C. Fellous
;
J. Regolini
;
JP. Reynard
;
G. Schlatter
;
G. Hadziioannou
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
microlens;
microlens shape;
photoresist;
reflow method;
crosslinking;
surface tension;
rheological properties;
contact angle;
37.
Poly(4-(1-hydroxyalkyl)styrene based photoresist materials: Design, synthesis and their lithographic performance
机译:
聚(4-(1-羟烷基)苯乙烯基光致抗蚀剂材料:设计,合成及其光刻性能
作者:
Mohammed J. Nasrullah
;
R. Dhamodharan
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
poly(4-(1-hydroxyalkyl)styrene;
photoresist materials;
polymer modification chemistry;
polystyrene;
deep uv photolithography;
photoresist formulation;
copolymers;
functionalization;
38.
Performance Comparison of Chemically Amplified Resists under EUV, EB and KrF Exposure
机译:
EUV,EB和KrF暴露下化学放大抗蚀剂的性能比较
作者:
Daisuke Shimizu
;
Nobuji Matsumura
;
Toshiyuki Kai
;
Yoshikazu Yamaguchi
;
Tsutomu Shimokawa
;
Koichi Fujiwara
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
extreme ultraviolet (EUV) lithography;
chemically amplified resist;
sensitivity;
line width roughness (LWR);
pattern-profile;
39.
LWR Reduction in ArF Resist pattern by Resist smoothing process
机译:
通过抗蚀剂平滑工艺降低ArF抗蚀剂图案的LWR
作者:
Yuichiro Inatomi
;
Tetsu Kawasaki
;
Mitsuaki Iwashita
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ArF resist;
LWR;
roughness;
40.
The Suppression Method of Powder Formation in ArF Photoresist
机译:
ArF光刻胶中粉末形成的抑制方法
作者:
Geunsu Lee
;
Heesung Kim
;
Eungsok Lee
;
Myoungsoo Kim
;
Samyoung Kim
;
Cheolkyu Bok
;
Hyeongsoo Kim
;
Seungchan Moon
;
Jinwoong Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
41.
The New Bake Plate Optimized for a PEB Process
机译:
针对PEB工艺进行了优化的新型烤盘
作者:
Shigehiro Goto
;
Keiji Matsuchika
;
Akihiro Hisai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
post-exposure bake (PEB);
temperature uniformity;
CD uniformity;
track equipment;
42.
The reaction mechanism of poly4-hydroxystyrene- co-4-(1,1,1,3,3,3-hexafluoro-2-hydroxypropyl)-styrene
机译:
聚4-羟基苯乙烯-co-4-(1,1,1,3,3,3-六氟-2-羟丙基)-苯乙烯的反应机理
作者:
Hiroki Yamamoto
;
Takahiro Kozawa
;
Kazumasa Okamoto
;
Seiichi Tagawa
;
Tomoyuki o
;
Mitsuru Sato
;
Hiroji Komano
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
chemically amplified resists;
acid generation;
electron beam;
halogenation;
coumarin6;
43.
The material design to reduce outgassing in acetal based chemically amplified resist for EUV lithography
机译:
该材料设计可减少用于EUV光刻的乙缩醛基化学放大抗蚀剂中的脱气
作者:
Seiya Masuda
;
Yasutomo Kawanishi
;
Shuuji Hirano
;
Sou Kamimura
;
Kazuyoshi Mizutani
;
Shoichiro Yasunami
;
Yasumasa Kawabe
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
EUV lithography;
chemical amplified resist;
outgassing;
44.
Supercritical CO_2 for High Resolution Photoresist Development
机译:
超临界CO_2用于高分辨率光刻胶开发
作者:
Nelson Felix
;
Kousuke Tsuchiya
;
Camille Man Yin Luk
;
Christopher K. Ober
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
molecular glass resists;
supercritical CO_2;
EUV resists;
E-beam lithography;
45.
Study of Iso/dense Bias of BARCs and Gap-fill Materials on Via Wafers
机译:
通孔晶圆上BARC和间隙填充材料的等值/密集偏差的研究
作者:
Runhui Huang
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
dual damascene;
BARC;
gap-fill material;
iso/dense bias;
46.
Study of Cross-linking Reactions in Negative-type Thick-film Resists
机译:
负型厚膜抗蚀剂的交联反应研究
作者:
Yoshihisa Sensu
;
Atsushi Sekiguchi
;
Yoshiyuki Kono
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
cross-linking reaction;
chemically amplified negative-type resist;
thick-film resist;
47.
Thickness dependence of the lithographic performance in 193nm photoresists
机译:
193nm光刻胶中光刻性能的厚度依赖性
作者:
Jae Hyun Kim
;
Namuk Choi
;
Young-Ho Kim
;
Tae-Sung Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ultra-thin photoresist;
193nm lithography;
line edge roughness (LER);
depth of focus (DOF);
photo acid generator (PAG);
48.
Transistor Fabrication for Sub-90 nm transistor by using Trim technology at ArF light source
机译:
在ArF光源下使用Trim技术制造Sub-90 nm晶体管的晶体管
作者:
Jin-Youp Kim
;
Jeong-Yeol Jang
;
Jae-Hee Kim
;
Keeho Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ArF lithography;
LER;
LES;
CD linearity;
gate patterning;
trim process;
49.
Reflow Modeling for Elongated Contact Hole Shape
机译:
延长接触孔形状的回流建模
作者:
Ji-Eun Lee
;
Dai-Gyoung Kim
;
Kang Baek Kim
;
Mi-Rim Jung
;
Hye-Young Kang
;
Jong-Sun Kim
;
Joo-Yoo Hong
;
Hye-Keun Oh
;
Jun-Tack Park
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
lithography;
resist reflow;
navier-stokes equation;
contact hole;
viscosity;
50.
Optimization of photoacid generator in CA resist for EUVL
机译:
用于EUVL的CA抗蚀剂中光酸产生剂的优化
作者:
Takeo Watanabe
;
Hideo Hada
;
Hiroo Kinoshita
;
Yuzuru Tanaka
;
Hideaki Shiotani
;
Yasuyuki Fukushima
;
Hiroji Komano
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
EUVL;
high sensitivity;
chemically amplified resist;
onium salts;
51.
Newly developed RELACS materials and process for 65 nm nodes
机译:
最新开发的用于65 nm节点的RELACS材料和工艺
作者:
Mamoru TERAI
;
Teruhiko KUMADA
;
Takeo ISHIBASHI
;
Tetsuro HANAWA
;
Noboru SATAKE
;
Yusuke TAKANO
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
RELACS;
shrink technology;
chemically amplified resist;
52.
New advanced BARC and gap fill materials based on sublimate reduction for 193nm lithography
机译:
基于升华还原的新型先进BARC和间隙填充材料,用于193nm光刻
作者:
Satoshi Takei
;
Tetsuya Shinjo
;
Yasushi Sakaida
;
Yusuke Horiguchi
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
BARC;
gap fill material;
sublimate reduction;
high etch rate;
dual damascene;
iso-dense thickness bias;
53.
New Development Application Method to Improve Critical Dimension Control
机译:
改进关键尺寸控制的新开发应用方法
作者:
Chang-Young Hong
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
development;
critical dimension;
uniformity;
LD nozzle;
54.
New Chemical Approach for Resist Poisoning Problem in Via First Dual-Damascene Process
机译:
通过第一个双大马士革工艺解决中毒问题的新化学方法
作者:
Hajime Nakao
;
Satoshi Takei
;
Tetsuya Shinjo
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
via first dual-damascene;
resist poisoning;
gap fill materials;
chemical reaction;
basic contamination;
55.
Process Development and Resist Modification for Metal Trench Layers from 65nm to 45 nm Nodes
机译:
从65nm到45nm节点的金属沟槽层的工艺开发和抗蚀剂改性
作者:
Steven Wu
;
Sho-Shen Lee
;
Chun-Chi Yu
;
Benjamin Lin
;
Cheng Bai Xu
;
Yasuhiro Suzuki
;
Stewart Robertson
;
Tsutomu Tanaka
;
I-Yuan Wan
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
back-end metal trench;
forbidden pitch;
MEF;
proximity;
line edge roughness;
56.
Post-Etch LER Performance of Novel Surface Conditioner Solutions
机译:
新型表面调节剂解决方案的蚀刻后LER性能
作者:
P. Zhang
;
M. Jaramillo
;
S. Cassel
;
T. Wallow
;
A. Acheta
;
A. R. Pawloski
;
S. Bell
;
R. H. Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
surface conditioner;
line edge roughness;
hard bake;
post-etch LER;
57.
Parameter Investigation of PEB sensitivity
机译:
PEB敏感性的参数研究
作者:
Seung Keun Oh
;
Eun Kyung Son
;
Chan Sik Park
;
Jung Youl Lee
;
Jeong Woo Kim
;
Jae Woo Lee
;
Deog Bae Kim
;
Jaehyun Kim
;
Geunsu Lee
;
Seung-Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ArF resist;
PEB sensitivity;
activation energy for deprotecting reactions;
acid diffusion controller;
diffusivity of quencher;
58.
Pattern Noise in e-beam exposed sub-35nm contacts
机译:
电子束暴露于35nm以下触点的图案噪声
作者:
Wojtek Poppe
;
Alexer Liddle
;
Erik erson
;
rew Neureuther
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
59.
Line-width roughness analysis of EUV resists after development in homogenous CO_2 solutions using CO_2 compatible salts (CCS) by a three-parameter model
机译:
通过三参数模型在使用CO_2相容盐(CCS)的均质CO_2溶液中显影后的EUV抗蚀剂的线宽粗糙度分析
作者:
Vassilios Constantoudis
;
Evangelos Gogolides
;
George P. Patsis
;
Mark Wagner
;
James DeYoung
;
Chris Harbinson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
EUV;
CO_2 compatible salts;
supercritical;
TMAH;
line width roughness;
LWR;
line edge roughness;
LER;
correlation length;
fractal dimension;
60.
Molecular Resists Based on Cholate Derivatives for Electron-beam Lithography
机译:
基于胆酸盐衍生物的电子束光刻胶分子抗蚀剂
作者:
Daiju Shiono
;
Taku Hirayama
;
Hideo Hada
;
Junichi Onodera
;
Tadashi Arai
;
Atsuko Yamaguchi
;
Kyoko Kojima
;
Hiroshi Shiraishi
;
Hiroshi Fukuda
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
chemically amplified positive-tone resist;
molecular resist;
cholic acid;
line edge roughness;
61.
Molecular Contamination Control Technologies for High NA 193nm Lithography
机译:
高NA 193nm光刻技术的分子污染控制技术
作者:
Akihiro Imai
;
Takashi Tanahashi
;
Kazuki Yamana
;
Toshiro Nakano
;
Nobuhiro Takahashi
;
Masaharu Shioguchi
;
Junichi Kitano
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
contamination;
193nm;
filter;
adsorption;
ammonia;
amine;
ion-exchange resin;
honeycomb;
62.
Implantation Blocking Characteristics Study of Organic BARC Materials
机译:
有机BARC材料的注入阻挡特性研究
作者:
Myoung-Soo Kim
;
Jae-Wook Seo
;
Kew-Chan Shim
;
Seung-Woo Jin
;
Hak-Joon Kim
;
Myung-Goon Gil
;
Yong-Wook Song
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
implantation;
blocking;
organic BARC;
KrF;
ArF;
gap fill;
63.
Improved Ion Implantation Masking through Photoresist Fluorination
机译:
通过光刻胶氟化改善离子注入掩膜
作者:
Patrick K. Montgomery
;
Richard D. Peters
;
Cesar Garza
;
Terry Breeden
;
Marijean Azrak
;
Jack Jiang
;
Kiwoon Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
ion;
implant;
resist;
mask;
improvement;
fluorination;
64.
Fundamental characterization of silicon-containing spin-on hardmask for 193nm photolithography
机译:
193nm光刻用含硅旋涂硬掩模的基本特性
作者:
Vishal Sipani
;
Yoshi Hishiro
;
Mirzafer Abatchev
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
hardmask;
spin-on;
MLR;
photolithography;
193nm;
65.
Highly Re-entrant Profiles in a Thick Photosensitive Material for Nanotechnology Applications
机译:
用于纳米技术应用的厚光敏材料中的高度折返轮廓
作者:
Warren W. Flack
;
Ha-Ai Nguyen
;
Mark Shaw
;
Manny do Canto
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
Re-entrant profiles;
sidewall angle;
ink-jet print head;
MEMS;
thick photoresist;
66.
Faster Sensitivity and Non-Antimonite Permanent Photoresist for MEMS
机译:
MEMS的更快灵敏度和非锑永久光刻胶
作者:
Koichi Misumi
;
Koji Saito
;
Atsushi Yamanouchi
;
Takahiro Senzaki
;
Toshiki Okui
;
Hideo Honma
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
micro electro mechanical systems (MEMS);
micro-machining;
chemically amplified resist;
thick film;
dry-film photo resist permanent photoresist;
epoxy resin;
non-antimonite;
environment;
packaging;
micro channel;
67.
Chemically amplified, thick film, i-line positive resist for electroplating and redistribution applications
机译:
化学放大的厚膜i线正性抗蚀剂,用于电镀和重新分布应用
作者:
Medhat Toukhy
;
Salem Mullen
;
Margareta Paunescu
;
Chunwei Chen
;
Stephen Meyer
;
Georg Pawlowski
;
Yoshio Murakami
;
Clifford Hamel
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
chemically amplified;
thick film;
electroplating;
copper;
positive photoresist;
DNQ;
novolak;
68.
Defect reduction by using a new rinse solution for 193-nm conventional and immersion lithography
机译:
通过使用用于193 nm常规和浸没式光刻的新型冲洗液来减少缺陷
作者:
Osamu Miyahara
;
Takeshi Shimoaoki
;
Ryoichiro Naito
;
Kousuke Yoshihara
;
Junichi Kitano
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
defect;
immersion;
193 nm;
69.
Design and Development of Next Generation Bottom Anti-Reflective Coatings for 45nm Process with Hyper NA Lithography
机译:
Hyper NA平版印刷技术用于45nm工艺的下一代底部抗反射涂层的设计与开发
作者:
Makoto Nakajima
;
Takahiro Sakaguchi
;
Keisuke Hashimoto
;
Rikimaru Sakamoto
;
Takahiro Kishioka
;
Satoshi Takei
;
Tomoyuki Enomoto
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
multi-layer resist process;
4 layers process;
BARC;
hard mask;
resist compatibility;
pattern transfer;
70.
Correlation between polymer platform of ArF photoresist and defect in the track nozzle of manufacturing process line
机译:
ArF光刻胶的聚合物平台与生产线流道喷嘴缺陷的相关性
作者:
Ji Young Song
;
Dong Chul Seo
;
Seung Duk Cho
;
Hyun Sang Joo
;
Kyoung Mun Kim
;
Hyun Soon Lim
;
Sang Jin Kim
;
Joo Hyeon Park
;
Jae Chang Jung
;
Sung Koo Lee
;
Chul Kyu Bok
;
Seung Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
defect;
particle;
193-nm;
ArF;
dispense nozzle;
particle;
71.
Development of Optimized Filter for TARC and Developer With the Goal of Having Small Pore Size and Minimizing Microbubble Reduction
机译:
以小孔径和减少微气泡为目标,为TARC和开发人员开发了优化的过滤器
作者:
Toru Umeda
;
Shuichi Tsuzuki
;
Mikal Boucher
;
Hung Dinh
;
LC Ma
;
Russell Boten
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
asymmetric;
developer;
filter;
HAPAS;
microbubble;
nylon;
polyarylsulfone;
TARC;
72.
Development of Multi-Function Hard Mask to Simplify Process Step
机译:
开发多功能硬掩模以简化工艺步骤
作者:
Kilyoung Lee
;
Samyoung Kim
;
Geunsu Lee
;
Sungkwon Lee
;
Junhee Cho
;
Wonkyu Kim
;
Cheolkyu Bok
;
Hyeongsoo Kim
;
Seungchan Moon
;
Jinwoong Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
BARC;
MFHM (multi-functional hard mask) and ArF lithography;
73.
Development of EUV resists in homogenous CO_2 solutions using CO_2 compatible salts (CCS): A kinetic view of dissolution of conventional resists in supercritical CO_2
机译:
使用CO_2相容盐(CCS)在均质CO_2溶液中开发EUV抗蚀剂:常规抗蚀剂在超临界CO_2中溶解的动力学视图
作者:
James DeYoung
;
Mark Wagner
;
Chris Harbinson
;
Merrick Miles
;
Amy Zweber
;
Ruben Carbonell
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
EUV;
photoresist;
CO_2 compatible salt;
CCS;
supercritical;
CO_2;
QCM;
kinetics, mechanism;
74.
Advanced Photoresist Dispense Valve Control Technology
机译:
先进的光刻胶分配阀控制技术
作者:
Garrett Stley
;
Brian Kidd
;
Kevin Hartman
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
resist minimization;
photolithography;
filter;
wafer coating defects;
pump;
digital dispense valve;
75.
An Investigation on Defect-generation Conditions in Immersion Lithography
机译:
浸没式光刻中缺陷产生条件的研究
作者:
Tadatoshi Tomita
;
Takeshi Shimoaoki
;
Masashi Enomoto
;
Hideharu Kyoda
;
Junichi Kitano
;
Toshifumi Suganaga
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
immersion;
defect;
track process;
76.
Analysis of the Effect of Mechanical Strength of the Resist Film on Pattern Collapse Behavior Using Atomic Force Microscope
机译:
用原子力显微镜分析抗蚀膜的机械强度对图案塌陷行为的影响
作者:
Osamu Tamada
;
Tomohiro Goto
;
Masakazu Sanada
;
Takahiro Moriuchi
;
Takayoshi Niiyama
;
Akira Kawai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
pattern collapse;
PAB process;
load;
tip indentation method;
DPAT;
77.
ArF Processing of 90-nm Design Rule Lithography Achieved Through Enhanced Thermal Processing
机译:
通过增强型热处理实现90nm设计规则光刻的ArF处理
作者:
Markus Kagerer
;
Daniel Miller
;
Wayne Chang
;
Daniel J. Williams
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
PEB uniformity;
PEB delay;
CD uniformity;
thermal characterization;
chemically amplified photoresist platforms;
ArF processing;
78.
193nm Immersion process Defect Generation and Reduction Mechanism Investigation using analytical methods
机译:
使用分析方法研究193nm浸没工艺缺陷产生和减少机理
作者:
Masashi Enomoto
;
Shinichi Hatakeyama
;
Takafumi Niwa
;
Tadatoshi Tomita
;
Hideharu Kyoda
;
Junichi Kitano
;
Satoru Shimura
;
Tetsu Kawasaki
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
immersion exposure;
defect;
track process;
79.
40-100nm contact-hole processes of ZEP520A e-beam resist on PCM prototyping applications
机译:
ZEP520A电子束抗蚀剂在PCM原型开发应用中的40-100nm接触孔工艺
作者:
Wei-Su Chen
;
Yen Chuo
;
Hong-Hui Hsu
;
Yi-Chan Chen
;
Chien-Min Lee
;
Ming-Jer Kao
;
Ming-Jinn Tsai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.2》
|
2006年
关键词:
contact-hole;
E-beam lithography;
post-applied baking;
CD uniformity;
mix-and-match;
上一页
1
下一页
意见反馈
回到顶部
回到首页