掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Advances in Resist Technology and Processing XXII pt.2
Advances in Resist Technology and Processing XXII pt.2
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Throughput Increase by Adjustment of the BARC Drying Time with Coat Track Process
机译:
通过使用涂层跟踪工艺调整BARC干燥时间来提高产量
作者:
Nickolas L. Brakensiek
;
Ryan Long
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
ArF;
KrF;
drying time;
throughput bottom anti-reflective coating;
BARC;
coating;
photolilhography;
2.
Study of Barrier Coats for Protection Against Airborne Contamination in 157 nm Lithography
机译:
157 nm平版印刷术中防止空气污染的隔离涂层的研究
作者:
Francis Houlihan
;
Raj Sakamuri
;
Keino Hamilton
;
Alla Dimerli
;
David Rentkiewicz
;
rew Romano
;
Ralph R. Dammel
;
Yayi Wei
;
Nickolay Stepanenko
;
Michael Sebald
;
Christoph Hohle
;
Will Conley
;
Daniel Miller
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
157 nm lithography;
157 nm photoresist;
amine barrier coat;
3.
Comparison of single-, bi-, and tri-layer resist process
机译:
单层,双层和三层抗蚀剂工艺的比较
作者:
Isao Nishimura
;
Hiroyuki Ishii
;
Norihiko Sugie
;
Naka-atsu Yoshimura
;
Masato Tanaka
;
Hiromi Egawa
;
Keiji Konno
;
Makoto Sugiura
;
Hikaru Sugita
;
Junichi Takahashi
;
Tsutomu Shimokawa
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
single layer resist (SLR);
Bi-layer resist (BLR);
tri-layer resist (TLR);
ArF;
line width roughness (LWR);
4.
Comparison of Resist Outgassing at Wavelengths from 193nm to 13nm
机译:
波长从193nm到13nm的抗蚀剂除气的比较
作者:
Wolf-Dieter Domke
;
Karl Kragler
;
Marion Kern
;
Klaus Lowack
;
Oliver Kirch
;
Michele Bertolo
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
5.
Comparison between Organic Spin-On BARC and Carbon-Containing CVD Stack for 65nm Gate Patterning
机译:
用于65nm栅极图案化的有机自旋BARC和含碳CVD堆叠的比较
作者:
Jean-Damien Chapon
;
Catherine Chaton
;
Pascal Gouraud
;
Marcel Broekaart
;
Scott Warrick
;
Isabelle Guilmeau
;
Yorick Trouiller
;
Jerome Belledent
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
bottom anti-reflective coating (BARC);
organic BARC;
amorphous carbon;
193nm lithography;
65nm gate;
line width roughness (LWR);
pattern collapse;
6.
Equilibrium water uptake and diffusion behavior in model polynorbornene photoresist polymers
机译:
模型聚降冰片烯光致抗蚀剂聚合物的平衡吸水和扩散行为
作者:
Trevor Hoskins
;
Paul J. Roman
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
water;
sorption;
quartz crystal microbalance;
QCM;
polynorbornene;
poly(hydroxystyrene);
hexafluoroisopropanol;
trifluorosulfonamide;
7.
Enhanced Inorganic Bimetallic Thermal Resists Transparency and Resolution for Photomask Fabrication
机译:
增强的无机双金属热阻剂可提高光掩模制造的透明度和分辨率
作者:
Glenn Chapman
;
David Poon
;
Chinheng Choo
;
Yuqiang Tu
;
James Dykes
;
Jun Wang
;
Jun Peng
;
Willy Lennard
;
Karen Kavanagh
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photomask;
inorganic photoresist;
thermal resist;
direct write photomask;
8.
Evaluation of Photo Resist Coating Performance of Small Dispense Nozzle Size in Photolithographic Spin Coating Process
机译:
光刻旋涂工艺中小点胶喷嘴光刻胶涂层性能的评价
作者:
Xiao Li
;
Tom Lehmann
;
Warren Greene
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photo resist coating;
photo resist consumption;
resist dispense;
resist viscosity;
dispense nozzle;
9.
Fluids and resists for hyper NA immersion lithography
机译:
用于超NA浸没式光刻的流体和抗蚀剂
作者:
J. Christopher Taylor
;
Ramzy Shayib
;
Sumarlin Goh
;
Charles R. Chambers
;
Will Conley
;
Shang-Ho Lin
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
10.
Influence of the Watermark in Immersion Lithography Process
机译:
水印在浸没式光刻工艺中的影响
作者:
Daisuke Kawamura
;
Tomoyuki Takeishi
;
Koutarou Sho
;
Kentarou Matsunaga
;
Naofumi Shibata
;
Kaoru Ozawa
;
Satoru Shimura
;
Hideharu Kyoda
;
Tetsu Kawasaki
;
Seiki Ishida
;
Takayuki Toshima
;
Yasunobu Oonishi
;
Shinichi Ito
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
11.
Mechanical Strength of Resist Film Analyzed by Tip Indentation Method
机译:
尖端压痕法分析抗蚀膜的机械强度
作者:
Osamu Tamada
;
Masakazu Sanada
;
Atsushi Ishikawa
;
Takayoshi Niiyama
;
Akira Kawai
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
pattern collapse;
track process;
mechanical strength;
tip indentation method;
atomic force microscopy;
12.
Inorganic Polymer Resists for EUVL
机译:
无机聚合物抗EUVL
作者:
J. Pablo Bravo-Vasquez
;
Young-Je Kwark
;
Christopher K. Ober
;
Heidi B. Cao
;
Hai Deng
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
EUV lithography;
silicon containing polymer;
polysilane;
polysilsesquiazane;
chemical amplification;
13.
Nanocomposite Liquids for 193 nm Immersion Lithography: A Progress Report
机译:
用于193 nm浸没式光刻的纳米复合液体:进展报告
作者:
George Chumanov
;
David D. Evanoff
;
Jr.
;
Igor Luzinov
;
Viktor Klep
;
Bogdan Zdyrko
;
Will Conley
;
Paul Zimmerman
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
14.
New Polymer Platform of BARC for ArF Lithography
机译:
BARC用于ArF光刻的新型聚合物平台
作者:
Yoshiomi Hiroi
;
Takahiro Kishioka
;
Rikimaru Sakamoto
;
Daisuke Maruyama
;
Yasushi Sakaida
;
Takashi Matsumoto
;
Yasuyuki Nakajima
;
SangMun Chon
;
YoungHo Kim
;
Sangwoong Yoon
;
Seok Han
;
YoungHoon Kim
;
EunYoung Yoon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
BARC;
ArF;
pattern collapse;
resist;
etch rate;
resist profile;
sublimation;
defect;
15.
New advanced BARC materials for ultra-high NA applications
机译:
适用于超高NA应用的新型先进BARC材料
作者:
James B. Claypool
;
Marc Weimer
;
Vana Krishnamurthy
;
Wendy Gehoel
;
Koen van Ingen Schenau
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
optical lithography;
65nm node;
high NA;
BARC;
bilayer BARC;
16.
A Convenient Method to Measure the Quantity of the Acid Generated by PAGs and Acid Amplifiers
机译:
一种测量PAG和酸放大器产生的酸量的简便方法
作者:
Liyuan Wang
;
Zhanxing Chu
;
Liying Sheng
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photoresist;
photoacid generator;
acid amplifier;
bromophenol blue;
indicator;
17.
A novel patterning method of low-resistivity metals
机译:
低电阻率金属的新型构图方法
作者:
Chang-Ho Noh
;
Jin-Young Kim
;
Ho-Chul Lee
;
Ok-Chae Hwang
;
Sung-Heon Cho
;
Ki-Yong Song
;
Jong-Min Kim
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photocatalyst;
low resistivity metal;
TiO_2;
Ni. Cu;
patterning;
carbon nano tubes;
18.
Advanced Micro-lithography Process with Multiple-Chemical Trim Technology
机译:
采用多化学修饰技术的先进微光刻工艺
作者:
Te Hung Wu
;
Ling Chieh Lin
;
C. L. Lin
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
MCTP;
LER;
line-end shortening;
mixing bake;
19.
Optimization of Equipment for 193-nm Immersion Processing
机译:
193 nm浸没处理设备的优化
作者:
Takafumi Niwa
;
Masashi Enomoto
;
Satoru Shimura
;
Hideharu Kyoda
;
Tetsu Kawasaki
;
Junichi Kitano
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
immersion;
CD;
defect;
20.
Novel Single-layer i-line Positive Resist Lift-Off Process With Oxidation Step in Develop
机译:
正在开发具有氧化步骤的新型单层i-line正性抗蚀剂剥离工艺
作者:
Jianxin Zhu
;
David N. Tomes
;
Sr.
;
Frank Yaghmaie
;
Rosemary Bell
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
21.
Study on Nano imprint Lithography by the Pre-Exposure Process (PEP)
机译:
预曝光工艺(PEP)研究纳米压印光刻
作者:
Yoshiyuki Kono
;
Atsushi Sekiguchi
;
Yoshihiko Hirai
;
Shigeo Arasaki
;
Koichi Hattori
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
nano imprint;
pre-exposure;
crosslinking ratio;
FT-IR;
UV cure;
22.
Performance of EUV Photoresists on the ALS Micro Exposure Tool
机译:
EUV光刻胶在ALS微型曝光工具上的性能
作者:
Thomas Koehler
;
Robert L. Brainard
;
Patrick P. Naulleau
;
David Van Steenwinckel
;
Jeroen H. Lammers
;
Kenneth A. Goldberg
;
Joseph F
;
Mackevich
;
Peter Trefonas
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
EUV;
photoresists;
EUV-2D;
MET;
23.
Overcoming Pattern Collapse of Ultra High Resolution Dense Lines Obtained with EUV Resists
机译:
克服EUV抵抗获得的超高分辨率致密线的模式崩溃
作者:
A. Jouve
;
J. Simon
;
J. Foucher
;
T. David
;
J-H Tortai
;
H. Solak
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
24.
Study on the resist materials leaching from resist film during immersion exposure for 193nm using QCM method
机译:
QCM法研究浸没曝光193nm过程中抗蚀剂膜中浸出的抗蚀剂材料
作者:
Atsushi Sekiguchi
;
Yoshihisa Sensu
;
Youichi minami
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
193nm immersion lithography;
resist materials leaching;
QCM;
GC-MS;
25.
Synthesis of Copolymers Containing Diazoketo Groups and Their Application as DUV Resists
机译:
含重氮基的共聚物的合成及其在抗紫外线中的应用
作者:
Jin-Baek Kim
;
Kyoung-Seon Kim
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
diazoketo group;
ethyl 2-diazo-4-methyl-3-oxo-pent-4-enoate;
DUV resist;
photobleaching effect;
26.
Reduction of Line Edge Roughness and Post Resist Trim Pattern Collapse for Sub 60 nm Gate Patterns using Gas-Phase Resist Fluorination
机译:
使用气相抗蚀剂氟化减少60 nm以下栅极图案的线边缘粗糙度和抗蚀剂后图案塌陷
作者:
Patrick K. Montgomery
;
Richie Peters
;
Cesar Garza
;
Jonathan Cobb
;
Bill Darlington
;
Colita Parker
;
Stan Filipiak
;
Dan Babbitt
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
resist;
fluorination;
ler;
pattern;
collapse;
193;
27.
Application of bi-layer resist to 70 nm node memory devices
机译:
双层抗蚀剂在70 nm节点存储设备中的应用
作者:
Yool Kang
;
Jin Hong
;
Shi-Yong Lee
;
Hyung-Rae Lee
;
Man-Hyoung Ryoo
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
BLR process;
LWR;
ArF lithography;
28.
Analysis for Collapse Behavior of Resist Pattern in Short Develop Time Process Using Atomic Force Microscope
机译:
原子力显微镜在短显影过程中抗蚀图案的塌陷行为分析
作者:
Masakazu Sanada
;
Osamu Tamada
;
Atsushi Ishikawa
;
Akira Kawai
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
short develop time;
pattern collapse;
DPAT;
intrusion;
adhesion;
atomic force microscope;
29.
Characterization of 100 Micron Thick Positive Photoresist on 300 mm Wafers
机译:
在300 mm晶圆上表征100微米厚的正性光刻胶
作者:
Warren W. Flack
;
Ha-Ai Nguyen
;
Elliott Capsuto
;
Kelly Abreau
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
advanced packaging;
flip chip;
thick photoresist;
mems;
lead-free solder bump;
electroplating;
process optimization;
300 mm wafers;
30.
ArF Photoresist Parameter Optimization for Mask Error Enhancement Factor Reduction
机译:
ArF光刻胶参数优化,可降低掩模误差
作者:
Chang Ho Lee
;
Seok Han
;
Kyung Sil Park
;
Hye Young Kang
;
Hyun Wook Oh
;
Ji Eun Lee
;
Kyung Me Kim
;
Young Ho Kim
;
Tae Sung Kim
;
Hye-Keun Oh
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
MEEF;
mask uniformity;
ArF;
photoresist;
optical parameter;
sub-80 nm device;
solid-C;
31.
ARC and Gap Fill Material with High Etch Rate for Advanced Dual Damascene Process
机译:
具有高蚀刻速率的ARC和间隙填充材料,适用于先进的双镶嵌工艺
作者:
Tetsuya Shinjo
;
Satoshi Takei
;
Yasushi Sakaida
;
Anwei Qin
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
gap fill material;
via first dual damascene (DD) process;
high etch rate;
iso/dense fill bias;
32.
Combined Pattern Collapse and LWR Control at 70 nm Node through Application of Novel Surface Conditioner Solutions
机译:
通过应用新型表面调节剂解决方案,将图案塌陷和LWR控制在70 nm节点进行组合
作者:
Peng Zhang
;
Manuel Jaramillo
;
Jr.
;
Madhukar B. Rao
;
Brenda Ross
;
Bridget Horvath
;
Patrick Wong
;
Wendy Gehoel
;
Stephan Sinkwitz
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
surface conditioner;
line width roughness;
pattern collapse;
process window;
70nm dense lines;
33.
Block Co-polymerized Polyimide Resists for KrF Lithography and EB Lithography with High Dry Etching Resistance
机译:
具有高抗干蚀性的KrF光刻和EB光刻的嵌段共聚聚酰亚胺抗蚀剂
作者:
Sucheta Gorwadkar
;
Taro Itatani
;
Hiroshi Itatani
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photosensitive;
polyimide;
KrF;
EB;
dry etching;
ICP;
RIE;
block copolymerization;
34.
Bottom Anti-Reflective Coatings for 193nm Bilayer System
机译:
193nm双层系统的底部抗反射涂层
作者:
Takahiro Sakaguchi
;
Tomoyuki Enomoto
;
Yasuyuki Nakajima
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
bilayer;
193nm;
ArF;
Organic BARC;
NCA900 series;
35.
Block-copolymerized Polyimides for Optical Waveguides
机译:
用于光波导的嵌段共聚聚酰亚胺
作者:
Taro Itatani
;
Sucheta Gorwadkar
;
Akinori Shiotani
;
Masahiro Igusa
;
Kenji Yonei
;
Joji Maeda
;
Hiroshi Itatani
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photosensitive;
polyimide;
i-line;
block copolymerization;
fluorinated;
dendrimer;
optical waveguide;
36.
Contact Hole Reflow by Finite Element Method
机译:
接触孔回流的有限元方法
作者:
Sang-Kon Kim
;
Ilsin An
;
Hye-Keun Oh
;
Sun Muk Lee
;
Cheol Kyu Bok
;
Seung Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
lithography simulation;
finite element method;
thermal reflow process;
thermal flow;
37.
Topography Impacts on Line-width Control for Gate Level Lithography
机译:
地形对栅级光刻线宽控制的影响
作者:
Allen H. Gabor
;
Scott D. Halle
;
Chidam Kallingal
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
38.
Studies on Leaching of Photoresist Components by Water
机译:
水对光致抗蚀剂成分的浸出研究
作者:
Seung Keun Oh
;
Jong Yong Kim
;
Young Ho Jung
;
Jae Woo Lee
;
Deog Bae Kim
;
Jaehyun Kim
;
Geun Su Lee
;
Sung Koo Lee
;
Keun Do Ban
;
Jae Chang Jung
;
Cheol Kyu Bok
;
Seung Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
39.
Newly Developed Polymer Bound Photoacid Generator Resist for Sub-100 nm Pattern by EUV Lithography
机译:
通过EUV光刻技术开发的用于低于100 nm图案的新型聚合物结合光致产酸剂
作者:
Kenneth E. Gonsalves
;
Muthiah Thiyagarajan
;
Kim Dean
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
EUV lithography;
PHOST;
sensitivity;
contrast;
polymer bound PAG;
Ionic PAG;
covalent PAG;
40.
Novel negative tone photodefinable low dielectric constant hybrid films
机译:
新型负性光可定义的低介电常数混合薄膜
作者:
Thomas J. Markley
;
Scott J. Weigel
;
Chris P. Kretz
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photoresist;
low dielectric constant;
negative tone;
aqueous developable;
self-planarizing;
patterned dielectric;
41.
Optimization of 248nm Bottom Anti-Reflective Coatings with Thin Film and High Etch Rate on Real Device
机译:
实际器件上具有高蚀刻速率的薄膜248nm底部抗反射涂层的优化
作者:
MyoungSoo Kim
;
HakJoon Kim
;
KewChan Shim
;
JeHa Jeon
;
MyungGoon Gil
;
YongWook Song
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
organic BARC;
thin film;
high etch rate;
NCA series;
42.
Process Optimization of Developer Soluble Organic BARC and its Characteristics in CMOS Devices
机译:
显影剂可溶有机BARC的工艺优化及其在CMOS器件中的特性
作者:
Yeon Hwa Lim
;
Young Keun Kim
;
Jae Sung Choi
;
Jeong Gun Lee
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
developer-soluble KrF BARC;
pattern density;
device performance;
43.
Simulation of Thermal Resist Flow Process
机译:
热阻流动过程的仿真
作者:
Sang-Kon Kim
;
Ilsin An
;
Hye-Keun Oh
;
Sun Muk Lee
;
Cheol Kyu Bok
;
Seung Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
lithography;
lithography simulation;
chemically amplified resist;
thermal process;
44.
Profile simulation of SU-8 thick film resist
机译:
SU-8厚膜抗蚀剂的轮廓模拟
作者:
Yoshihisa Sensu
;
Atsushi Sekiguchi
;
Satoshi Mori
;
Nao Honda
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
chemically amplified negative resist;
thick-film resist;
cross-link;
PEB;
resolution;
lithography simulation;
45.
Quantification of EUV Resist Outgassing
机译:
EUV抵抗脱气的量化
作者:
Wang Yueh
;
Heidi B. Cao
;
Vani Thirumala
;
Hokkin Choi
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
46.
Characterization of property variation in ultra-thin polymer films from molecular simulation
机译:
通过分子模拟表征超薄聚合物薄膜的性能变化
作者:
Lovejeet Singh
;
Clifford L. Henderson
;
Peter J. Ludovice
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
polymer;
thin films;
modeling;
simulation;
glass transition temperature;
CTE;
47.
Bilayer Resists Based on Polyhedral Oligomeric Silsesquioxane for 193-nm Lithography
机译:
基于多面体低聚倍半硅氧烷的193nm光刻胶双层抗蚀剂
作者:
Ramakrishnan Ganesan
;
Jae-Hak Choi
;
Hyo-Jin Yun
;
Young-Gil Kwon
;
Kyoung-Seon Kim
;
Tae-Hwan Oh
;
Jin-Baek Kim
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
nanomolecular resist;
POSS;
cholic acid;
diazodiketo;
photobleaching;
48.
Effects of wet-cleans and surface treatments on the adhesion of a photoresist to HDP-oxide substrate
机译:
湿法清洗和表面处理对光致抗蚀剂与HDP氧化物基底的粘附力的影响
作者:
Shih-Chi Fu
;
Jieh-Jang Chen
;
Feng-Jia Shiu
;
Ching-Sen Kuo
;
Gwo-Yun Shiau
;
Chia-Shiung Tsia
;
Chung Wang
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
contact angle;
adhesion force;
hydrophobic;
49.
Effect of film composition on the performance of interdigitated electrode methods used for chemically amplified photoresist characterization: Methods for analyzing photoresist materials containing base quencher
机译:
膜组成对用于化学放大光致抗蚀剂表征的叉指电极性能的影响:分析含碱淬灭剂的光致抗蚀剂材料的方法
作者:
Cody Berger
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
chemically amplified resist;
photoacid generator;
Dill C;
base quencher;
interdigitated electrode;
50.
Effect of Hard Bake Process on LER
机译:
硬烤工艺对LER的影响
作者:
Munirathna Padmanaban
;
David Rentkiewicz
;
SangHo Lee
;
Chisun Hong
;
Dongkwan Lee
;
Dalil Rahman
;
Raj Sakamuri
;
Ralph R. Dammel
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
LER;
193nm resists;
hard bake;
flash hard bake;
51.
Development Status of Thick Film Photoresist for Semiconductor Packaging
机译:
半导体封装用厚膜光刻胶的发展现状
作者:
Koichi Misumi
;
Koji Saito
;
Hiroyuki Obiya
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
WL-CSP;
plating;
gold bump;
cupper bump;
solder bump;
metal post;
thick film;
Dry-film photo resist;
polymerization negative photo resist;
DNQ positive photo resist;
chemically amplified positive photo resist;
52.
Development of Electron Beam Resists based on Amorphous Polyphenols with Low Molecular Weight and Narrow Dispersion
机译:
低分子量窄分散非晶态多酚电子束抗蚀剂的研制
作者:
Taku Hirayama
;
Daiju Shiono
;
Shogo Matsumaru
;
Toshiyuki Ogata
;
Hideo Hada
;
Junichi Onodera
;
Tadashi Arai
;
Toshio Sakamizu
;
Atsuko Yamaguchi
;
Hiroshi Shiraishi
;
Hiroshi Fukuda
;
Mitsuru Ueda
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
chemically amplification positive-tone resist;
amorphous polyphenol;
low molecular weight;
line-edge roughness;
homogeneous;
depth profile;
53.
Diffusion Contributions to Line End Shortening in 193nm Photolithography
机译:
193nm光刻中线末端缩短的扩散贡献
作者:
Eun-Kyung Son
;
Jung-Woo Kim
;
Sang-Hyang Lee
;
Chan-Sik Park
;
Jea-Woo Lee
;
Jaehyun Kim
;
Geun-Su Lee
;
Sung-Koo Lee
;
Keun-Do Ban
;
Jae-Chang Jung
;
Chul Kyu Bok
;
Seung-Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
193nm photolithography;
line end shortening (LES);
diffusivity;
post-exposure bake (PEB);
54.
Deprotonation mechanism of poly(4-hydroxystyrene) and its derivative
机译:
聚(4-羟基苯乙烯)及其衍生物的去质子化机理
作者:
Atsuro Nakano
;
Kazumasa Okamoto
;
Yukio Yamamoto
;
Takahiro Kozawa
;
Seiichi Tagawa
;
Toshiyuki Kai
;
Hiroaki Nemoto
;
Tsutomu Shimokawa
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
poly(4-hydroxystyrene);
acid generation mechanism;
electron beam;
EUV;
deprotonation;
55.
Encapsulation of Light Emitting Materials and Photo-patterning using β-Cyclodextrin
机译:
β-环糊精的发光材料的封装和光图案化
作者:
Jin-Baek Kim
;
Ji-Young Park
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
inclusion;
cyclodextrin;
nanomolecular resists;
light-emittng;
ArF lithography;
chemically amplified resists;
56.
High-RI Resist Polymers for 193 nm Immersion Lithography
机译:
用于193 nm浸没式光刻的高RI抗蚀剂聚合物
作者:
rew K. Whittaker
;
Idriss Blakey
;
Heping Liu
;
David J. T. Hill
;
Graeme A. George
;
Will Conley
;
Paul Zimmerman
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
immersion lithography;
resist polymers;
high RI;
RI dispersion;
sulfur;
QSPR;
57.
Global Planarization of Gap-Filling Process for Low-k Dual Damascene Applications
机译:
低k双金属镶嵌应用的间隙填充工艺的全球平面化
作者:
Ruei Hung Hsu
;
I. H. Huang
;
Ling Chieh Lin
;
Benjamin Szu-Min Lin
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
gap-filling;
dual damascene;
global planarization;
low-k;
58.
Mechanism Study of Defect Improvement by Short Develop Time Process
机译:
短显影时间改善缺陷的机理研究
作者:
Osamu Tamada
;
Masakazu Sanada
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
develop time;
defect;
exposure dose;
polarity;
59.
On-site Mixing and Preparation of Polyimide Resists for Reliable Nanopatterning
机译:
用于可靠纳米图案化的聚酰亚胺抗蚀剂的现场混合和制备
作者:
Sucheta Gorwadkar
;
Taro Itatani
;
Masanori Komuro
;
Akinori Shiotani
;
Hiroshi Itatani
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
on-site mixing;
polyimide patterning;
g-line;
i-line;
KrF lithography;
e-beam lithography;
nanopatterning;
60.
Multi Layer Ultra Thick Resist Development for MEMS
机译:
MEMS的多层超厚抗蚀剂开发
作者:
Yasushi Washio
;
Takahiro Senzaki
;
Yasuo Masuda
;
Koji Saito
;
Hiroyuki Obiya
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
MEMS (micro electro mechanical systems);
micro-machining;
anode junction;
chemically amplified resist;
electro forming;
multi-layer form;
air wiring;
metallic mold plating;
61.
Managing effects in CD control from PED and PEB in advanced DUV photomask manufacturing using FEP-171 resist
机译:
在使用FEP-171抗蚀剂的先进DUV光掩模制造中管理PED和PEB的CD控制效果
作者:
Adisa Paulsson
;
Kezhao Xing
;
Hans Fosshaug
;
Axel Lundvall
;
Charles Bjoernberg
;
Johan Karlsson
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
photomask;
post exposure bake;
post exposure delay;
acid diffusion;
FEP-171;
NTAR7;
62.
Applying Double Exposed Sharp Tip (DEST) Technique to Characterize Material Phenomena in DUV Photoresist
机译:
应用双重曝光尖锐尖端(DEST)技术表征DUV光致抗蚀剂中的材料现象
作者:
Lei Yuan
;
Seiji Nagahara
;
rew Neureuther
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
double exposure;
resist blur;
resist resolution;
resist surface interaction;
acid surface loss;
quencher surface loss;
acid diffusion;
non-Fickian diffusion;
process control;
63.
A New Method to Characterize Conformality of BARC Coatings
机译:
表征BARC涂层保形性的新方法
作者:
Runhui Huang
;
Heping Wang
;
Anwei Qin
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
bottom anti-reflective coating;
BARC;
conformal;
planarizing;
conformality;
64.
A Comparison of New Thick Photoresists for Solder Bumping
机译:
用于焊锡凸点的新型厚光刻胶的比较
作者:
Warren W. Flack
;
Ha-Ai Nguyen
;
Mark Neisser
;
Ernesto Sison
;
Ping Hung Lu
;
Bob Plass
;
Toshimichi Makii
;
Yoshio Murakami
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
advanced packaging;
thick photoresist;
solder bumping;
plating;
process optimization;
65.
Adhesion and Removal Behavior of Nanoscale Bubble on Resist Film Surface for Immersion Lithography
机译:
浸没式光刻胶在胶膜表面上的纳米气泡的粘附和去除行为
作者:
Akira Kawai
;
Atsushi Ishikawa
;
Takayoshi Niiyama
;
Masahiko Harumoto
;
Osamu Tamada
;
Masakazu Sanada
会议名称:
《Advances in Resist Technology and Processing XXII pt.2》
|
2005年
关键词:
nano-micro bubble;
atomic force microscope;
immersion lithography;
defect;
surface energy;
意见反馈
回到顶部
回到首页