掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
25th European mask and lithography conference
25th European mask and lithography conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
现代表面贴装资讯
邮电设计技术
电子世界
江西通信科技
激光与红外
数据通信
电信软科学研究(内部资料)
互联网天地
数字技术与应用
电子工程
更多>>
相关外文期刊
Electronic and Radio Engineers, Proceedings of the Institution of
Communications Surveys & Tutorials, IEEE
Telecommunications World
東北大学電通谈话会記録
International Journal of ICT Research and Development in Africa
Canadian journal of communication
Journal of signal processing systems for signal, image, and video technology
Electrical Engineers - Part I: General, Journal of the Institution of
Radio and Electronic Engineer
IEEE Photonics Technology Letters
更多>>
相关中文会议
中国电子学会敏感技术分会第十二届电压敏学术年会
内蒙古广播影视协会电视技术研究会2006年年会
2007年全国高等学校电子信息科学与工程类专业教学协作会议
2006年全国电子显微学会议
2011’光纤光缆及光器件产品技术研讨会
冶金系统第四届电信技术应用学术交流会
第六届卫星通信新业务新技术学术年会
第五届电子产品防护技术研讨会
中国密码学会2007年年会
2014年中国通信能源会议
更多>>
相关外文会议
Electrochemical Society(ECS) Meeting;Symposium on Modeling and Simulation of Dissolution and Corrosion Processes; 20071007-12;20071007-12; Washington,DC(US);Washington,DC(US)
Conference on Lasers for Measurements and Information Transfer 2002 Jun 5-7, 2002 St. Petersburg, Russia
2013 Sixth "Rio De La Plata" Workshop on Laser Dynamics and Nonlinear Photonics
International Symposia on Ⅲ-Nitride Based Semiconductor Electronics and Optical Devices and Thirty-Fourth State-of-the-Art-Program on Compound Semiconductors (Sotapocs XXXIV) Mar 25-30, 2001, Washington, DC
Sixth International Conference on Education and Training in Optics and Photonics 28-30 July 1999 Cancun; Mexico
Ultrafast electronics and optoelectronics
Hard X-Ray and Gamma-Ray Detector Physics and Penetrating Radiation Systems VIII
International Conference on Computers and their Applications; 20070328-30; Honolulu,HI(US)
2002 China-Japan Joint Meeting on Microwaves (CJMW '2002) Apr 25-26, 2002 Xi'an, P.R. China
Dielectrics in nanosystems -and- graphene, Ge/III-V, nanowires and emerging materials for post-CMOS applications 3
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Lithography Development and Research Challenges for the ≤ 22 nm Half-pitch
机译:
≤22 nm半间距光刻技术的发展和研究挑战
作者:
Stefan Wurm
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
lithography;
EUV;
nanoimprint;
mask inspection;
2.
Mask salvage in the age of capital contraction
机译:
资本紧缩时代的面具抢救
作者:
Kurt R. Kimmel
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
mask yield;
mask cost;
mask salvage;
mask repair;
3.
SEMATECH Mask Program
机译:
SEMATECH面膜程序
作者:
Henry Yun
;
rnAbbas Rastegar
;
rnPatrick Kearney
;
rnKevin Orvek
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUVL;
SEMATECH;
mask infrastructure;
mask blank development;
4.
Mask parameter variation in the context of the overall variation budget of an advanced logic wafer Fab
机译:
在高级逻辑晶圆Fab总体变化预算范围内的掩模参数变化
作者:
Rolf Seltmann
;
rnGert Burbach
;
rnAnne Parge
;
rnJens Busch
;
rnTino Hertzsch
;
rnAndre Poock
;
rnFrancois Weisbuch
;
rnAndre Holfeld
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
ring oscillator;
mask;
ACLV;
CD-budget;
overlay;
5.
Contamination control for ArF photo masks
机译:
ArF光罩的污染控制
作者:
Joseph S. Gordon
;
rnMarianna Silova
;
rnBrid Connolly
;
rnJeroen Huijbregtse
;
rnNicolae Maxim
;
rnLarry Frisa
;
rnChristian Chovino
;
rnColleen Weins
会议名称:
《25th European mask and lithography conference》
|
2009年
6.
CDP - Application of focus drilling
机译:
CDP-聚焦钻孔的应用
作者:
S. Geisler
;
rnJ. Bauer
;
rnU. Haak
;
rnK. Schulz
;
rnG. Old
;
rnE. Matthus
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
RET (resolution enhancement technology);
CDP;
tilted stage;
binary masks;
KrF lithography;
7.
MeRiT~? repair verification using in-die phase metrology Phame~?
机译:
好〜?使用管内相计量Phame〜?进行维修验证?
作者:
Ute Buttgereit
;
rnRobert Birkner
;
rnRobert Stelzner
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
phame;
PSM;
phase metrology;
merit;
repair;
ebeam repair;
8.
Effects of mask absorber thickness on printability in EUV lithography with high resolution resist
机译:
掩模吸收剂厚度对具有高分辨率抗蚀剂的EUV光刻中可印刷性的影响
作者:
Takashi Kamo
;
rnHajime Aoyama
;
rnToshihiko Tanaka
;
rnOsamu Suga
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUV lithography;
mask;
absorber;
shadowing effect;
reflectivity;
phase;
resist;
9.
Mask Industry Assessment Trend Analysis
机译:
口罩行业评估趋势分析
作者:
Greg Hughes
;
rnHenry Yun
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
10.
Electron beam inspection methods for imprint lithography at 32 nm
机译:
用于32 nm压印光刻的电子束检查方法
作者:
Kosta Selinidis
;
rnEcron Thompson
;
rnS.V. Sreenivasan
;
rnDouglas J. Resnick
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
step and flash imprint lithography;
S-FIL;
imprint lithography;
template;
imprint mask;
electron beam;
electron beam inspection;
11.
SEM image contrast modeling for mask and wafer metrology
机译:
用于掩模和晶圆计量的SEM图像对比度建模
作者:
C.G. Frase
;
rnD. Gnieser
;
rnK.-P. Johnsen
;
rnW. Haessler-Grohne
;
rnR. Tutsch
;
rnH. Bosse
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
CD metrology;
CD-SEM;
linewidth metrology;
Monte Carlo simulation;
image contrast modeling;
12.
Reduced Pellicle Impact on Overlay using High Order Intrafield Grid Corrections
机译:
使用高阶场内网格校正减少薄膜对覆盖的影响
作者:
Robert de Kruif
;
rnTasja van Rhee
;
rnEddy van der Heijden
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
pellicle;
distortion;
photomask;
registration;
mask metrology;
grid corrections;
13.
MAPPER: HIGH THROUGHPUT MASKLESS LITHOGRAPHY
机译:
MAPPER:高通量光刻技术
作者:
V. Kuiper
;
rnB.J. Kampherbeek
;
rnM.J. Wieland
;
rnG. de Boer
;
rnG.F. ten Berge
;
rnJ. Boers
;
rnR. Jager
;
rnT. van de Peut
;
rnJ.J.M. Peijster
;
rnE. Slot
;
rnS.W.H.K. Steenbrink
;
rnT.F. Teepen
;
rnA.H.V. van Veen
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
maskless lithography;
MAPPER;
exposure results;
14.
Nuisance Event Reduction Using Sensitivity Control Layers (SCL) for Advanced Photomask Inspection
机译:
使用灵敏度控制层(SCL)进行高级光掩模检查的有害事件减少
作者:
Shad Hedges
;
rnChin Le
;
rnMark Eickhoff
;
rnMark Wylie
;
rnTim Simmons
;
rnVenu Vellanki
;
rnJeff McMurran
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
sensitivity control layer (SCL);
die-to-database;
reticle inspection;
photomask inspection;
15.
Error-budget paradigms and laser mask pattern generator evolution
机译:
误差预算范例和激光掩模图案发生器的发展
作者:
H. Christopher Hamaker
;
rnMatthew J. Jolley
;
rnAndrew D. Berwick
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
laser mask pattern generator;
error budget;
rasterization;
gray level;
pattern density;
critical dimension;
uniformity;
gaussian;
convolution;
process error;
16.
Ion Beam Deposition for Defect-Free EUVL Mask Blanks
机译:
离子束沉积,用于无缺陷EUVL掩模坯料
作者:
Patrick Kearney
;
rnC. C. Lin
;
rnTakashi Sugiyama
;
rnHenry Yun
;
rnRajul Randive
;
rnIra Reiss
;
Alan Hayes
;
rnPaul Mirkarimi
;
rnEberhard Spiller
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUV;
EUVL;
mask defects;
ion beam deposition;
ion beam etch;
defect smoothing;
17.
EUV Actinic Defect Inspection and Defect Printability at the Sub-32 nm Half-pitch
机译:
小于32 nm半间距的EUV光化缺陷检查和缺陷可印刷性
作者:
Sungmin Huh
;
rnPatrick Kearney
;
rnStefan Wnrm
;
rnFrank Goodwin
;
rnHakseung Han
;
rnKenneth Goldberg
;
rnIacopo Mochi
;
rnEric Gullikson
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUV;
mask;
phase defect;
DUV inspection;
actinic inspection;
18.
High speed (>100 Gbps) key components for a scalable optical data link, to be implemented in future maskless lithography applications
机译:
用于可扩展光学数据链路的高速(> 100 Gbps)关键组件,将在未来的无掩模光刻应用中实现
作者:
A. Paraskevopoulos
;
rnS.-H. Voss
;
rnM. Talmi
;
rnG. Walf
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
maskless lithography;
integrated optical receiver;
data buffering;
BiCMOS technology;
optical data path;
19.
Molecular dynamics study on mold fracture by nano scale defects in nanoimprint lithography
机译:
纳米压印光刻中纳米尺度缺陷对模具断裂的分子动力学研究
作者:
K. Tada
;
rnM. Yasuda
;
rnN. Fujii
;
rnH. Kawata
;
rnY. Hirai
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
nanoimprint;
mold;
silicon;
fracture;
defect;
yield stress;
molecular dynamics;
20.
Particle transport and reattachment on a mask surface
机译:
颗粒在面罩上的运输和重新附着
作者:
Pavel Nesladek
;
rnSteve Osborne
;
rnChristian Kohl
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
particle removal;
cleaning mechanism;
media flow;
spin versus bath processes;
particle dot tests;
21.
Design Verification for sub 70 nm DRAM nodes via Metal Fix using E-Beam Direct Write
机译:
通过使用电子束直接写入的Metal Fix对70 nm以下DRAM节点进行设计验证
作者:
K. Keil
;
rnP. Jaschinsky
;
rnC. Hohle
;
rnK.-H. Choi
;
rnR. Schneider
;
rnM. Tesauro
;
rnF. Thrum
;
rnR. Zimmermann
;
rnJ. Kretz
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EBDW;
mix match;
E-Beam lithography;
design verification;
metal fix;
22.
Deflection Unit for Multi-Beam Mask Making
机译:
偏转装置,用于多束光罩
作者:
Florian Letzkus
;
rnJoerg Butschke
;
rnMathias Irmscher
;
rnMichael Jurisch
;
rnWolfram Klingler
;
Elmar Platzgummer
;
rnChristof Klein
;
rnHans Loeschner
;
rnReinhard Springer
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
multi-beam;
ion-beam;
e-beam;
pattern generator;
23.
Extended Abbe approach for fast and accurate lithography imaging simulations
机译:
扩展的阿贝方法可实现快速准确的光刻成像仿真
作者:
P. Evanschitzky
;
rnA. Erdmann
;
rnT. Fuehner
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
extended abbe approach;
lithography simulation;
image simulation;
24.
Decomposition Algorithm for Double Patterning of Contacts and Via Layers
机译:
接触层和通孔层双重图案的分解算法
作者:
A. El-Gamal
;
rnM. Al-Imam
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
double patterning;
pitch splitting;
contact layer;
25.
Mask contribution on CD OVL errors budgets for Double Patterning Lithography
机译:
双图案光刻的CD和OVL错误预算中的掩模贡献
作者:
I. Servin
;
rnC. Lapeyre
;
rnS. Barnola
;
rnB. Connolly
;
rnR. Ploss
;
K. Nakagawa
;
rnP. Buck
;
rnM. McCallum
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
mask CD control;
mask registration;
wafer metrology;
pellicle;
distortion;
double patterning technology (DPT);
26.
New methods and processes based on advanced vacuum technology for photomask decontamination
机译:
基于先进真空技术的光掩模净化的新方法和工艺
作者:
J.M.Foray
;
rnB.Bellet
;
rnS.HadjRabah
;
rnJ.Palisson
;
rnE.Veran
;
rnM.Davenet
;
rnA.Favre
;
rnP.Sergent
;
rnM.Tissier
;
V.Baudiquez
;
rnP.Nesladek
;
rnE.Foca
;
rnS.Gopalakrishnan
;
rnI.Hollein
;
rnF.Dufaye
;
rnS.Gough
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
vacuum process;
AMC;
contamination;
haze;
decontamination;
27.
The task of EUV-reflectometry for HVM of EUV-masks: first steps
机译:
EUV掩模的HVM的EUV反射法的任务:第一步
作者:
Azadeh Farahzadi
;
rnChristian Wies
;
rnRainer Lebert
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUV-masks;
EUV-reflectometry;
metrology;
accuracy;
precision;
fiducial mark;
28.
EUV and DUV scatterometry for CD and edge profile metrology on EUV masks
机译:
EUV和DUV散射法,用于EUV掩模上的CD和边缘轮廓测量
作者:
Bernd Bodermann
;
rnMatthias Wurm
;
rnAlexander Diener
;
rnFrank Scholze
;
rnHermann Gross
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
scatterometry;
CD-metrology;
mask-metrology;
diffraction;
lithography;
critical dimension;
DUV;
EUV;
inverse problem;
29.
EUV imaging performance - moving towards production
机译:
EUV成像性能-投入生产
作者:
Eelco van Setten
;
rnSjoerd Lok
;
rnJoep van Dijk
;
rnCemil Kaya
;
rnKoen van Ingen Schenau
;
rnKees Feenstra
;
rnHans Meiling
;
rnChristian Wagner
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUV lithography;
imaging;
masks;
resist;
30.
Resolution capability of EBM-6000 and EBM-7000 for Nano-imprint template
机译:
EBM-6000和EBM-7000对纳米压印模板的解析能力
作者:
S. Yoshitake
;
rnT. Kamikubo
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
Nano-imprint Lithography;
resolution;
EB mask writer;
full field template;
31.
UV NIL template making and imprint evaluation
机译:
UV NIL模板制作和压印评估
作者:
Shiho Sasaki
;
rnTakaaki Hiraka
;
rnJun Mizuochi
;
rnYuko Sakai
;
Satoshi Yusa
;
rnYasutaka Morikawa
;
rnHiroshi Mohri
;
rnNaoya Hayashi
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
UV NIL;
template;
EB writing;
photomask;
32.
Lithography light source challenges for Double Patterning and EUVL
机译:
双图案和EUVL的光刻光源挑战
作者:
Nigel R. Farrar
;
rnIvan Lalovic
;
rnDavid Brandt
;
rnDaniel Brown
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
excimer;
laser;
double patterning;
EUVL;
LPP;
33.
Residual-free imprint for sensor definition
机译:
无残留的烙印,用于传感器定义
作者:
A. Mayer
;
rnN. Bogdanski
;
rnS. Moellenbeck
;
rnH.-C. Scheer
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
thermal imprint;
residual free;
partial cavity filling;
34.
Registration Metrology on Double Patterning Reticles
机译:
双图案掩模版的注册计量
作者:
Karl-Heinrich Schmidt
;
rnKlaus-Dieter Roeth
;
rnFrank Laske
;
rnJochen Bender
;
rnDieter Adam
;
rnOliver Ache
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
double patterning;
overlay;
mask metrology;
registration metrology;
pattern placement;
35.
High Resolution Cell Projection
机译:
高分辨率细胞投影
作者:
U.Weidenmueller
;
rnP.Hahmann
;
rnM.Lemke
;
rnB.Schnabel
;
rnL.Pain
;
rnS.Manakli
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
electron beam lithography;
cell projection;
maskless lithography;
CP;
EBDW;
SB3054;
36.
New writing strategy in electron beam direct write lithography to improve critical dense lines patterning for sub-45nm nodes
机译:
电子束直接写入光刻中的新写入策略可改善亚45nm节点的关键密集线图案
作者:
L. Martin
;
rnS. Manakli
;
rnB. Icard
;
rnJ. Pradelles
;
rnRegis Orobtchouk
;
rnAlain Poncet
;
rnL. Pain
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
electron beam lithography;
process improvement;
resolution;
EBPC;
energy latitude;
37.
A Solution to Meet New Challenges on EBDW Data Prep
机译:
应对EBDW数据准备新挑战的解决方案
作者:
R. Galler
;
rnD. Melzer
;
rnJ. Nowotny
;
rnK. Kroenert
;
rnM. Krueger
;
rnM. Suelzle
;
rnB. Papenfuss
;
rnC. Wagner
;
rnU. Baetz
;
rnB. Buerger
;
rnJ. Gramss
;
rnM. Lemke
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
ePlace;
data prep;
distributed computing;
MGS;
PROXECCO;
LES;
line end shortening;
simulation;
PEC;
EPC;
cell projection;
electron-beam lithography;
EBDW;
38.
Improving Yield and Cycle Time at the Inspection Process by means of a new Defects Disposition Technique
机译:
通过新的缺陷处理技术提高检查过程的良率和周期时间
作者:
Ernesto Villa
;
rnLuca Sartelli
;
rnHiroyuki Miyashita
;
Frank Sundermann
;
rnStuart Gough
;
rnFelix Dufaye
;
Astrid Sippel
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
Litho2;
aerial image simulation (AIMS? ZEISS);
die to database inspection (DB);
die to die inspection (DD);
CETUS;
critical dimensions (CD);
terascan T576 inspection pixels (P90 - P125);
terastar SLF87;
39.
Increasing inspection equipment productivity by utilizing Factory Automation SW on TeraScan 5XX systems
机译:
通过在TeraScan 5XX系统上使用Factory Automation SW来提高检查设备的生产率
作者:
Thomas Jakubski
;
rnMichal Piechocinski
;
rnRaphael Moses
;
rnBharathi Bugata
;
rnHeiko Schmalfuss
;
Ines Koehler
;
rnJan Lisowski
;
rnJens Klobes
;
rnRobert Fenske
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
factory automation;
equipment productivity;
equipment performance tracking;
remote control;
inspection strategy;
40.
Sub-30 nm defect removal on EUV substrates
机译:
在EUV基板上去除亚30纳米的缺陷
作者:
Abbas Rastegar
;
rnSean Eichenlaub
;
rnArun John Kadaksham
;
rnMatt House
;
rnBrian Cha
;
rnHenry Yun
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
EUVL;
mask blank defects;
sub 30 nm defects cleaning;
41.
Innovative processes investigation for photomask pod conditioning and drying
机译:
光掩膜盒调理和干燥的创新工艺研究
作者:
J.M.Foray
;
rnC.Rude
;
rnJ.Palisson
;
rnM.Davenet
;
rnA.Favre
;
rnD.Cheung
;
rnF.Dufaye
;
rnS.Gough
;
rnP.Richteiger
;
rnV.Baudiquez
;
rnE.Foca
;
rnP.Nesladek
;
rnS.Gopalakrishnan
;
rnK.Avary
;
rnI.Hollein
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
pods;
conditioning process;
drying process;
AMC;
contamination;
haze;
42.
Advanced proximity matching with Pattern Matcher
机译:
使用模式匹配器进行高级接近匹配
作者:
Alexander Serebryakov
;
rnLionel Brige
;
rnEmmanuel Boisseau
;
rnEric Peloquin
;
rnVincent Coutellier
;
rnJonathan Planchot
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
lithography;
proximity matching;
pattern matcher;
43.
Mounting Methodologies to Measure EUV Reticle Nonflatness
机译:
测量EUV掩模版不平坦度的安装方法
作者:
Venkata Siva Battula
;
rnJacob R. Zeuske
;
rnRoxann L. Engelstad
;
Pradeep Vukkadala
;
rnAndrew R. Mikkelson
;
rnChris K. Van Peski
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
extreme ultraviolet lithography (EUVL);
EUVL reticle;
EUVL substrate nonflatness;
interferometric measurements;
finite element modeling;
44.
Monte-Carlo Simulations of Image Analysis for flexible and high-resolution Registration Metrology
机译:
灵活高分辨率的配准计量学图像分析的蒙特卡洛模拟
作者:
M. Arnz
;
rnG. Klose
;
rnG. Troll
;
rnD. Beyer
;
rnA. Mueller
会议名称:
《25th European mask and lithography conference》
|
2009年
关键词:
photomask metrology;
registration;
pattern placement;
CD metrology;
Monte-Carlo simulation;
image analysis;
意见反馈
回到顶部
回到首页