掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Electronic Components and Technology Conference, 2009. ECTC 2009
Electronic Components and Technology Conference, 2009. ECTC 2009
召开年:
2009
召开地:
San Diego, CA(US);San Diego, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
现代通信技术
电波科学学报
印制电路信息
音响世界
电力电子
电信工程技术与标准化
视听技术
现代信息科技
北京电子
无线电技术(上海)
更多>>
相关外文期刊
Electronics & Communications in Japan. 2
International Journal of Information Technology,Communications and Convergence
International journal of communication systems
The Electron
Telcom Report
Camcorder & computer video
The Journal of Microwave Power & Electromangnetic Energy
IEEE Network
CQ Amateur radio
Microwave Journal
更多>>
相关中文会议
第九届高功率粒子束学术交流会
第八届全国固体薄膜学术会议
ADSS光缆应用技术研讨会
第九届全国抗恶劣环境计算机学术年会
第四届中国国际电池技术交流会
2014中国高端SMT学术会议
全国第十一次光纤通信暨十二届集成光学学术会议(OFCIO'2003)
2007台达电力电子新技术研讨会
第五届中国卫星导航学术年会
2011年全国第八届全国精密工程学术研讨会
更多>>
相关外文会议
MEMS-vol.6; ASME International Mechanical Engineering Congress and Exposition; 20041113-19; Anaheim,CA(US)
Conference on Microwave Update 2000 Sep 28-30, 2000, Trevose, Pennsylvania
Signal Processing and Communications Applications Conference, 2009. SIU 2009
映像情報メディア学会技術報告: ヒューマンインフォメーション (HI2017-62)
Electrochemical Society(ECS) Meeting;Symposium on Electrodeposition of Nanoengineered Materials and Alloys; 20071007-12;20071007-12; Washington,DC(US);Washington,DC(US)
SEMI(Semiconductor Equipment and Materials International) Packaging Seminar November 5, 1998 Taipei
Conference on Ultrafast Phenomena in Semiconductors and Nanostructure Materials VIII; 20040126-20040129; San Jose,CA; US
Silicon photonics VI
Physics and Simulation of Optoelectronic Devices XIV
Emerging liquid crystal technologies VI
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Modeling of thermal residual stresses of crack free GaN epitaxial film grown on patterned silicon substrates
机译:
在图案化硅衬底上生长的无裂纹GaN外延膜的热残余应力建模
作者:
Zhaohui Chen
;
HanYan
;
Zhiyin Gan
;
Sheng Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
III-V semiconductors;
MOCVD;
epitaxial growth;
finite element analysis;
gallium compounds;
masks;
semiconductor thin films;
surface roughness;
thermal stresses;
wide band gap semiconductors;
GaN;
Si;
crack free gallium nitride epitaxial film growth;
etching trench;
finite element method;
metal organic chemical vapor deposition;
silicon dioxide mask;
silicon substrate;
thermal expansion coefficient;
thermal residual stress;
FEM;
GaN film;
micro structure;
residual thermal stress;
2.
A method of “chemical flip-chip bonding” without loading and heating for ultra-fine chip-to-substrate interconnects
机译:
一种无需加载和加热即可进行“化学倒装芯片键合”的方法,用于超细芯片到基板的互连
作者:
Yokoshima T.
;
Yamaji Y.
;
Kikuchi K.
;
Nakagawa H.
;
Aoyagi M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electroless deposition;
flip-chip devices;
integrated circuit bonding;
integrated circuit interconnections;
bridge connections;
chemical flip-chip bonding;
electric resistance;
preferential bridge deposition;
ultrafine chip-to-substrate interconnects;
3.
New electrically conductive adhesives (ECAs) for flexible interconnect applications
机译:
用于柔性互连应用的新型导电胶(ECA)
作者:
Rongwei Zhang
;
Yiqun Duan
;
Wei Lin
;
Kyoung-sik Moon
;
Wong C.P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
conductive adhesives;
glass fibre reinforced plastics;
polymers;
electrically conductive adhesives;
flexible interconnect applications;
glass fiber;
rigid epoxy;
4.
Non-contact magnetic actuation test technique to characterize interfacial fatigue fracture of thin films
机译:
非接触式磁致动测试技术表征薄膜界面疲劳断裂
作者:
Jiantao Zheng
;
Ostrowicki G.
;
Sitaraman S.K.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
cantilevers;
delamination;
electromagnetic actuators;
fatigue cracks;
fatigue testing;
fracture mechanics;
gold;
metallic thin films;
micromechanical devices;
nanostructured materials;
reliability;
springs (mechanical);
titanium;
Ti-Au;
delaminating interface;
electron-beam lithography;
fatigue crack;
in-situ crack growth measurement;
interfacial fatigue fracture;
metal thin film cantilever;
microcontact spring;
nano metal traces;
noncontact magnetic actuation test technique;
stable electrical behavior;
thin film stack;
5.
Evaluation for UV laser dicing process and its reliability for various designs of stack chip scale package
机译:
各种尺寸的堆叠芯片级封装的UV激光切割工艺及其可靠性评估
作者:
DoHyung Kim
;
YoonJoo Kim
;
KyeongSool Seong
;
JaeKyu Song
;
BongChan Kim
;
ChanHa Hwang
;
ChoonHeong Lee
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
diamond;
elemental semiconductors;
integrated circuit reliability;
silicon;
wafer level packaging;
UV laser dicing;
blade dicing;
diamond blade sawing method;
die stacking;
laser grooving;
mass production;
reliability;
semiconductor market;
silicon wafer;
stack chip scale package;
ultra low-k device;
6.
Isothermal aging induced evolution of the material behavior of underfill encapsulants
机译:
等温老化导致底部填充胶的材料性能演变
作者:
Chang Lin
;
Suhling J.C.
;
Lall P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ageing;
creep;
elastic moduli;
encapsulation;
flip-chip devices;
glass transition;
microassembling;
stress-strain relations;
flip chip underfill encapsulants;
glass transition temperature;
isothermal aging;
mechanical strength;
microelectronic encapsulants;
microscale tension-torsion testing machine;
modulus;
silica filled epoxy;
temperature 100 degC;
temperature 125 degC;
temperature 150 degC;
temperature 25 degC;
temperature 50 degC;
temperature 75 degC;
temperature 80 degC;
time 10 month;
uniaxial tensile stress-str;
7.
Underfills for lead-free and low-k flip chip packages
机译:
用于无铅和低k倒装芯片封装的底部填充
作者:
Qing Ji
;
Renzhe Zhao
;
Qiaohong Huang
;
Pukun Zhu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
copper;
flip-chip devices;
low-k dielectric thin films;
semiconductor device packaging;
semiconductor device reliability;
device reliability;
dielectric materials;
lead-free chip packages;
low-k flip chip packages;
underfill materials;
8.
Study of steady and transient thermal behavior of high power semiconductor lasers
机译:
大功率半导体激光器的稳态和瞬态热行为研究
作者:
Zhenbang Yuan
;
Jingwei Wang
;
Di Wu
;
Xu Chen
;
Xingsheng Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
semiconductor lasers;
thermal management (packaging);
high power semiconductor lasers;
pump laser;
steady thermal behavior;
thermal management;
transient thermal behavior;
9.
Cu lateral interconnects formed between 100-µm-thick self-assembled chips on flexible substrates
机译:
在柔性基板上厚度为100 µm的自组装芯片之间形成的铜横向互连
作者:
Murugesan M.
;
Bea J.-C.
;
Fukushima T.
;
Konno T.
;
Kiyoyama K.
;
Jeong W.-C.
;
Kino H.
;
Noriki A.
;
Lee K.-W.
;
Tanaka T.
;
Koyanagi M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
copper;
electroplating;
integrated circuit interconnections;
multichip modules;
self-assembly;
Cu;
RF test chips;
Si;
daisy chain lateral interconnects;
face-up bonding;
flexible substrates;
heterogeneous multi-chip module integration;
inter chip level;
resistance;
thick test chips;
10.
Board level temperature cycling study of large array Wafer Level Package
机译:
大型晶圆级封装的板级温度循环研究
作者:
Rahim M.S.K.
;
Tiao Zhou
;
Xuejun Fan
;
Rupp G.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
failure analysis;
fatigue;
finite element analysis;
fracture mechanics;
integrated circuit reliability;
solders;
wafer level packaging;
array size;
ball locations;
ball pitch;
board level temperature cycle reliability;
crack propagation;
daisy-chain chips;
finite element modeling;
large array wafer level package;
mechanical degradation;
solder fatigue;
solder joints;
temperature cycle profile;
11.
Selective induction heating for wafer level bonding and packaging
机译:
晶圆级键合和封装的选择性感应加热
作者:
Mingxiang Chen
;
Wenming Liu
;
Yanyan Xi
;
Changyong Lin
;
Wong C.P.
;
Sheng Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
finite element analysis;
heat transfer;
induction heating;
infrared imaging;
printed circuits;
solders;
temperature distribution;
wafer bonding;
wafer level packaging;
FEM;
IR thermal imager;
PCB;
finite element method;
selective induction heating;
solder loop;
wafer level bonding;
12.
Design and optimization of horizontally-located plate fin heat sink for high power LED street lamps
机译:
大功率LED路灯卧式板翅散热器的设计与优化
作者:
Xiaobing Luo
;
Wei Xiong
;
Ting Cheng
;
Sheng Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
LED lamps;
chip scale packaging;
durability;
heat sinks;
semiconductor device packaging;
semiconductor device reliability;
street lighting;
thermal management (packaging);
chip packaging;
environment temperature;
heat dissipation;
high-power LED street lamp;
horizontally-located plate fin heat sink;
light emitting diode;
optical extraction;
power 112 W;
reliability;
steady state temperature;
temperature 25 C;
temperature 45 C;
thermal management;
13.
Joining characteristics of various high temperature lead-free interconnection materials
机译:
各种高温无铅互连材料的接合特性
作者:
Suganuma K.
;
Kim K.S.
;
Kim S.S.
;
Kim D.S.
;
Kang M.
;
Kim S.J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesives;
conducting polymers;
electroplating;
humidity;
impact testing;
interconnections;
interface phenomena;
silver;
soldering;
tin alloys;
transmission electron microscopy;
zinc alloys;
Ag;
TEM analyses;
ZnSn;
die;
humid condition;
interface stability;
interfacial degradation;
lead-free interconnection materials;
oxide layers;
pendulum impact testing system;
plating;
silver-epoxy conductive adhesives;
solder;
thermal cycling test;
14.
Joule heating effects on the current carrying capacity of an organic substrate for flip-chip applications
机译:
焦耳热对倒装芯片应用中有机基板的载流能力的影响
作者:
Calmidi V.
;
Memis I.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electric current;
flip-chip devices;
integrated circuit interconnections;
organic compounds;
thermal management (packaging);
Joule heating effect;
current carrying capacity;
high interconnect density;
size 12 micron;
size 16 mm;
size 253 mum;
size 50 micron;
size 535 mum;
thin core organic flip chip substrate;
voltage 1 V;
15.
Water-based high-volume stress-free ultra-thin powder-chip method
机译:
水性大体积无应力超薄粉片法
作者:
Noda H.
;
Usami M.
;
Sato A.
;
Terasaki S.
;
Ishizaka H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electronics packaging;
integrated circuit manufacture;
microprocessor chips;
RFID powder chips;
packaging processes;
radio frequency identification chips;
water-based high-volume stress-free ultra-thin powder-chip method;
16.
Routing optimization of multi-modal interconnects in 3D ICs
机译:
3D IC中的多模式互连的路由优化
作者:
Young-Joon Lee
;
Sung Kyu Lim
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
circuit optimisation;
cooling;
integrated circuit design;
integrated circuit interconnections;
integrated circuit noise;
microchannel flow;
network routing;
3D stacked IC technology;
liquid cooling;
microfluidic channel;
multimodal interconnect;
power distribution network;
power noise;
routing optimization;
thermal network;
17.
Integration of a temporary carrier in a TSV process flow
机译:
在TSV处理流程中集成临时载体
作者:
Charbonnier J.
;
Cheramy S.
;
Henry D.
;
Astier A.
;
Brun J.
;
Sillon N.
;
Jouve A.
;
Fowler S.
;
Privett M.
;
Puligadda R.
;
Burggraf J.
;
Pargfrieder S.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesion;
elemental semiconductors;
integrated circuit interconnections;
mechanical strength;
silicon;
thermal stability;
wafer bonding;
3D wafer stacking technologies;
Si;
TSV process flow;
chemical resistance;
flow properties;
interconnections;
removable high-temperature adhesive;
temporary carrier;
temporary wafer bonding adhesives;
through-silicon vias;
ultrathin wafers;
wafer handling;
wafer-level bonding;
18.
Flexible thermoplastic conductive adhesive with high reliability
机译:
高可靠性的柔性热塑性导电胶
作者:
Cheng Yang
;
Yuen M.M.F.
;
Bo Gao
;
Yuhui Ma
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
UHF antennas;
ageing;
conductive adhesives;
elasticity;
electrical resistivity;
filled polymers;
microstrip antennas;
plasticity;
radiofrequency identification;
reliability;
silver;
UHF RFID tag antennas;
aging;
elastic ICA materials;
elastic polymer dispersant;
electrical performance;
filler content level;
flexible thermoplastic conductive adhesive;
isotropically conductive adhesives;
mechanical properties;
printed antennas;
severe deformation;
19.
Post-dicing particle control for 3D stacked IC integration flows
机译:
切块后粒子控制,用于3D堆叠式IC集成流程
作者:
Bearda T.
;
Travaly Y.
;
Wostyn K.
;
Halder S.
;
Swinnen B.
;
Molders T.
;
Varghese I.
;
Cheng P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
bonding processes;
cleaning;
cryogenics;
microassembling;
3D stacked integrated circuit;
bonding;
cleaning process;
cryogenic cleaning;
die level handling;
post dicing particle control;
20.
Microbump creation system for advanced packaging applications
机译:
Microbump创建系统,用于高级包装应用
作者:
Ahr A.
;
Hao Yun
;
Balut C.E.
;
Huffman A.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electrodeposits;
photoresists;
soldering;
wafer level packaging;
DuPont EKC162;
DuPont MXAdvance;
DuPont wafer level packaging;
high resolution dry film;
microbump creation system;
photoresist;
remover chemistry system;
size 30 micron to 40 micron;
solder electro-deposition process;
21.
Effect of stand-off height on microstructure and tensile strength of Cu/Sn-8Zn-3Bi/Cu and Cu/Sn-9Zn/Cu solder joints
机译:
支座高度对Cu / Sn-8Zn-3Bi / Cu和Cu / Sn-9Zn / Cu焊点的组织和拉伸强度的影响
作者:
Bin Du
;
Fengshun Wu
;
Bo Wang
;
Hui Liu
;
Bing An
;
Yiping Wu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
alloying additions;
bismuth alloys;
copper alloys;
crystal microstructure;
dissolving;
reliability;
solders;
tensile strength;
tensile testing;
tin alloys;
zinc alloys;
Cu-Sn-Zn-Bi-Cu;
Cu-Sn-Zn-Cu;
IMC;
UTS;
intermetallic compound;
microstructure;
needle-like Zn-rich phase;
size 10 mum to 100 mum;
solder joints;
stand-off height;
tensile test;
ultimate tensile strength;
Sn-8Zn-3Bi;
Sn-9Zn;
Stand-off height (SOH);
22.
A low-cost wire-bonding package design with package built-in three-dimensional distributed matching circuit for over 5Gbps SerDes applications
机译:
一种低成本的引线键合封装设计,具有封装内置的三维分布式匹配电路,适用于超过5Gbps的SerDes应用
作者:
Oikawa R.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ball grid arrays;
lead bonding;
low-cost wire-bonding package design;
package built-in 3D distributed matching circuit;
power integrity design;
signal-power-combined electromagnetic analysis;
23.
Searching for the worst-case eye diagram of a signal channel in electronic packaging system including the effects of the nonlinear I/O devices and the crosstalk from adjacent channels
机译:
在电子封装系统中搜索信号通道的最坏情况眼图,包括非线性I / O设备的影响以及相邻通道的串扰
作者:
Zhaoqing Chen
;
Katopis G.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
S-parameters;
circuit simulation;
crosstalk;
electronics packaging;
interconnections;
telecommunication links;
transient analysis;
Mpilog macromodels;
SERDES I-O;
chip-to-chip interconnections;
electronic packaging system;
multiport S-parameters;
nonlinear I-O devices;
signal channel;
transient circuit simulations;
worst-case eye diagram;
24.
Material characterization of corner and edgebond epoxy adhesives for the improvement of board-level solder joint reliability
机译:
角和边缘键合环氧胶粘剂的材料表征,可提高板级焊点的可靠性
作者:
Wu H.L.H.
;
Song F.
;
Lo J.C.C.
;
Tong Jiang
;
Newman K.
;
Lee S.W.R.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesives;
ball grid arrays;
failure analysis;
fracture toughness;
reliability;
soldering;
solders;
surface mount technology;
1849 CBGA packages;
190 ball count mezzanine connectors;
SMT test devices;
board-level solder joint;
edgebond epoxy adhesives;
failure modes;
fracture strengths;
25.
Reliability characterization and process optimization of Ni-based microinsert interconnections for flip chip die on wafer attachment
机译:
晶圆附着上倒装芯片的镍基微插入互连的可靠性表征和工艺优化
作者:
Boutry H.
;
Brun J.
;
Nowodzinski A.
;
Sillon N.
;
Depoutot F.
;
Dubois-Bonvalot B.
;
Schmidt C.
;
Simon M.
;
Altmann F.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
flip-chip devices;
integrated circuit interconnections;
integrated circuit metallisation;
integrated circuit reliability;
3D integration technology;
NiJkJk;
flip chip die;
microinsert interconnection;
system level integration;
wafer attachment;
26.
Technology trend and challenges in high power semiconductor laser packaging
机译:
大功率半导体激光封装技术趋势与挑战
作者:
Xingsheng Liu
;
Wei Zhao
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
power semiconductor devices;
semiconductor device packaging;
semiconductor laser arrays;
semiconductor lasers;
high power semiconductor laser packaging;
horizontal bar arrays;
single emitters;
vertical bar stacks;
27.
Advances in the reliable performance of high-power laser diodes
机译:
大功率激光二极管可靠性能方面的进展
作者:
Harrison J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electromagnetic form factors;
epitaxial growth;
laser reliability;
optical fibres;
optical pumping;
semiconductor lasers;
thermal management (packaging);
epitaxial structure;
form factor;
high-power laser diode reliable performance;
multibar module;
pump source;
single fiber;
size 400 mum;
thermal management;
wavelength 808 nm;
28.
Improved 2
nd
harmonic rise-time in a thermal-lens-dominated extended-cavity micro-laser
机译:
在热透镜支配的长腔微激光器中改进的2
sup>谐波上升时间
作者:
Earman A.M.
;
Polulyakh V.
;
Stahr M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
microcavity lasers;
optical harmonic generation;
refractive index;
solid lasers;
surface emitting lasers;
high-power VECSEL;
intracavity thermal lens;
localized thermal expansion;
microcavity diode-pumped solid-state lasers;
second harmonic rise-time;
thermal lens formation time;
thermal-lens-dominated extended-cavity microlaser;
29.
Influence of carbon, metal-coated polymer, and nano powders on sintering and electrical performance of nano-micro-filled conducting adhesives for z-axis interconnections
机译:
碳,金属涂覆的聚合物和纳米粉末对用于z轴互连的纳米微填充导电胶的烧结和电性能的影响
作者:
Das R.N.
;
Egitto F.D.
;
Lauffer J.M.
;
Poliks M.D.
;
Markovich V.R.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesives;
conducting polymers;
nanoparticles;
optical microscopy;
sheet metal processing;
sintering;
Z-axis interconnections;
carbon;
electrical performance;
laminate chip carrier;
metal coated polymer filler;
microfilled epoxy;
nano micro filled conducting adhesives;
nano powders;
printed wiring board;
scanning electron microscopy;
sheet resistance;
sintering mechanisms;
30.
Multiple-target laser rangefinding receivers using silicon photomultiplier arrays
机译:
使用硅光电倍增管阵列的多目标激光测距接收机
作者:
Son K.T.
;
Lee C.C.
;
Shieh Y.Y.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
avalanche photodiodes;
elemental semiconductors;
laser beams;
laser ranging;
optical arrays;
optical receivers;
optical signal detection;
optical transmitters;
photomultipliers;
silicon;
time measurement;
Geiger mode;
Si;
current gain;
high-detection sensitivity;
multiple-target laser rangefinding receiver;
optical signal reflection;
round-trip transit time measurment;
signal detection;
signal measurement;
silicon avalanche photodiode;
silicon photomultiplier array;
space element;
31.
BGA package integration of electrical, optical, and capacitive interconnects
机译:
电,光和电容互连的BGA封装集成
作者:
Xuezhe Zheng
;
Lexau J.
;
Rolston D.
;
Cunningham J.E.
;
Shubin I.
;
Ho R.
;
Krishnamoorthy A.V.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
ball grid arrays;
integrated circuit interconnections;
integrated optoelectronics;
optical communication;
optical interconnections;
BGA package integration;
capacitive interconnects;
electrical I/O;
electrical interconnects;
multichannel optical subassemblies;
multiple CMOS chips;
optical driver/receiver circuits;
optical fiber connections;
optical interconnects;
optically-enabled ball grid array package;
optoelectronic subassemblies;
organic cavity-down BGA package;
packaging solution;
p;
32.
Thin glass based packaging technologies for optoelectronic modules
机译:
基于薄玻璃的光电模块封装技术
作者:
Brusberg L.
;
Schroder H.
;
Topper M.
;
Arndt-Staufenbiel N.
;
Roder J.
;
Lutz M.
;
Reichl H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
etching;
fluidic devices;
glass;
lead bonding;
optical waveguides;
photodiodes;
polymers;
semiconductor lasers;
silicon;
surface mount technology;
system-in-package;
3D electrical wiring interconnection;
SiOsub2/sub;
coefficient-of-thermal expansion;
conductor trace;
drilling;
fluidic channel integration;
fluidic-optical chip;
glass wafers;
integration density;
laser diode;
laser technology;
mounting;
optical lightwave circuit integration;
optical waveguide;
optoelectronic modules;
photosensitive glass;
polymer;
silico;
33.
Advanced method to determine the curing-induced evolutions of chemical shrinkage and modulus
机译:
确定固化引起的化学收缩率和模量演变的先进方法
作者:
Yong Wang
;
Woodworth L.
;
Bongtae Han
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
Bragg gratings;
curing;
elastic moduli;
fibre optic sensors;
glass fibres;
polymerisation;
polymers;
shrinkage;
Bragg wavelength shifts;
chemical shrinkage;
cylindrical polymer configurations;
glass fiber Bragg grating sensors;
heat generation;
modulus;
polymeric materials;
polymerization;
thermosetting polymer;
34.
Low loss multilayer transitions using via technology on LCP from DC to 40 GHz
机译:
在DC至40 GHz的LCP上使用过孔技术实现低损耗多层过渡
作者:
Chung D.J.
;
Bhattacharya S.K.
;
Papapolymerou J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
coplanar waveguides;
elemental semiconductors;
liquid crystal polymers;
microstrip transitions;
multilayers;
silicon;
wafer bonding;
LCP substrate;
Si;
coplanar waveguide;
frequency 30 GHz;
frequency 40 GHz;
liquid crystal polymer;
low-loss multilayer transition;
microstrip transition;
size 105 mum;
size 55 mum;
size 70 mum;
35.
Investigating worst case power noise for LP-DDR2 multi ports impedance network
机译:
调查LP-DDR2多端口阻抗网络的最坏情况下的电源噪声
作者:
Huang J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
integrated circuit interconnections;
integrated circuit noise;
low-power electronics;
mobile computing;
multiport networks;
radiocommunication;
LP-DDR2 multi ports impedance network;
board decoupling capacitor;
die power grids interconnect;
die power metal grid;
impedance matrix;
low power double data rate;
periodic resonance;
power delivery network;
power noise;
standing wave;
36.
Experimental investigation of heat transfer performance of a manifold microchannel heat sink for cooling of concentrated solar cells
机译:
歧管微通道散热器冷却集中太阳能电池传热性能的实验研究
作者:
Kermani E.
;
Dessiatoun S.
;
Shooshtari A.
;
Ohadi M.M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
boundary layers;
cooling;
etching;
heat sinks;
heat transfer;
microchannel flow;
microfabrication;
silicon;
solar cells;
Nusselt number;
Reynolds number;
electrical efficiency;
eutectic bonding;
flow rate;
microchannel heat sink;
silicon substrates;
solar flux;
thermal boundary layer;
thermal interface resistance;
37.
Parameterization of bent coils on curved flexible surface substrates for RFID applications
机译:
用于RFID应用的弯曲柔性表面基板上弯曲线圈的参数化
作者:
Fotheringham G.
;
Ohnimus F.
;
Ndip I.
;
Guttowski S.
;
Reichl H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
antenna accessories;
coils;
design of experiments;
inductors;
radiofrequency identification;
response surface methodology;
DOE;
antenna-coil inductance;
approximation equation;
bent coil parameterization;
curved flexible surface substrate;
design of experiment;
fitting coefficients;
geometrical parameters;
passive inductively-coupled high frequency RFID tag;
response surface method;
space-filling design;
three-level full factorial design;
38.
Wideband mm-wave compensated 90° bends for Grounded Coplanar Waveguide and microstrip Transmission Lines on flexible LCP substrates
机译:
柔性LCP基板上接地共面波导和微带传输线的宽带毫米波补偿90°弯曲
作者:
Rida A.
;
Margomenos A.
;
Tentzeris M.M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
coplanar waveguides;
microstrip lines;
waveguide discontinuities;
CPWG bends;
S-parameters;
grounded coplanar waveguide;
liquid crystal polymer;
microstrip transmission lines;
return loss;
waveguide bend;
wideband mm-wave compensation;
39.
Ultra-wide-band (UWB) band-pass-filter using integrated passive device (IPD) technology for wireless applications
机译:
使用集成无源设备(IPD)技术的超宽带(UWB)带通滤波器,用于无线应用
作者:
Yong-Taek Lee
;
Kai Liu
;
Frye R.
;
Hyun-Tai Kim
;
Gwang Kim
;
Ahn B.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
band-pass filters;
elemental semiconductors;
flip-chip devices;
integrated circuit technology;
silicon;
ultra wideband technology;
Si;
consumer device;
flip-chip version;
frequency 7.0 GHz;
frequency 9.0 GHz;
high speed data transmission;
integrated passive device technology;
loss 15 dB;
loss 2.0 dB;
pass-band frequency bandwidth;
silicon substrate;
size 0.40 mm;
size 1.2 mm;
size 1.4 mm;
ultra-wide-band band-pass-filter design;
wireless applications;
40.
Thermal conductivity of epoxy/surface functionalized carbon nano materials
机译:
环氧/表面功能化碳纳米材料的导热系数
作者:
Qizhen Liang
;
Wei Wang
;
Kyoung-Sik Moon
;
Wong C.P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
Fourier transform spectra;
bonds (chemical);
carbon nanotubes;
filled polymers;
graphite;
infrared spectra;
thermal conductivity;
C;
FTIR spectra;
Fourier-transform infrared spectra;
Netzsch Nano Flash;
carboxyl groups;
chemical bond;
cyanate ester polymer composites;
epoxy-surface functionalized carbon nanomaterials;
functionalized chemical structures;
graphite nanoplates;
multiwalled carbon nanotubes;
nanofillers;
polymer composites;
TIM;
epoxy composite;
functionalized carbon;
41.
Innovative approaches for realisation of embedded chip packages - Technological challenges and achievements
机译:
实现嵌入式芯片封装的创新方法-技术挑战和成就
作者:
Manessis D.
;
Boettcher L.
;
Ostmann A.
;
Aschenbrenner R.
;
Reichl H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
integrated circuit interconnections;
integrated circuit packaging;
laser beam applications;
photoresists;
PCB;
QFN package;
chip embedding;
chip positioning;
contact pitches;
copper flash etching;
flip chip interconnection;
homogeneous mechanical environment;
laser direct imaging technique;
negative photoresist films;
plating;
semiconductor chips;
ultra fine line patterning;
42.
Parametrical modeling and design optimization of blood plasma separation device with microchannel mechanism
机译:
具有微通道机制的血浆分离装置的参数化建模与设计优化
作者:
Xiangdong Xue
;
Patel M.K.
;
Kersaudy-Kerhoas M.
;
Bailey C.
;
Desmulliez M.P.Y.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
bifurcation;
bioMEMS;
biochemistry;
blood flow measurement;
cellular biophysics;
microchannel flow;
non-Newtonian flow;
Fahraeus effect;
Fahraeus-Lindqvist effect;
T-shaped microchannel device;
Zweifach-Fung bifurcation law;
biofluid behavior;
blood plasma separation device;
cell-free phenomenon;
design optimization;
flow velocity;
optimal separation performance;
parametrical modeling;
particle liquid separation;
red blood cell separation;
shear rate;
43.
3D chip stack with integrated decoupling capacitors
机译:
具有集成去耦电容器的3D芯片堆栈
作者:
Bing Dang
;
Wright S.L.
;
Andry P.
;
Sprogis E.
;
Ketkar S.
;
Tsang C.
;
Polastre R.
;
Knickerbocker J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
capacitance;
capacitors;
elemental semiconductors;
integrated circuit interconnections;
silicon;
3D chip bond;
3D chip stack;
CMOS-compatible thru-silicon via interconnects;
Si;
Si chips;
assembly process flow;
capacitance density;
controlled collapse chip connection;
integrated decoupling capacitors;
integrated deep trench capacitors;
interposer chips;
44.
The effects of SAC alloy composition on aging resistance and reliability
机译:
SAC合金成分对耐老化性和可靠性的影响
作者:
Yifei Zhang
;
Zijie Cai
;
Suhling J.C.
;
Lall P.
;
Bozack M.J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ageing;
creep testing;
solders;
stress-strain relations;
tin alloys;
SAC alloy composition;
SnAgCu;
aging resistance;
aging temperatures;
electronic assemblies;
finite element models;
lead free solder joints;
silver content;
solder alloys;
solder joint reliability;
thermal cycling accelerated life testing;
45.
Isothermal aging effects on the dynamic performance of lead-free solder joints
机译:
等温老化对无铅焊点动态性能的影响
作者:
Hongtao Ma
;
Tae-Kyu Lee
;
Dong Hyun Kim
;
Sang Ha Kim
;
Park H.G.
;
Kuo-Chuan Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ageing;
application specific integrated circuits;
ball grid arrays;
electronic products;
failure analysis;
flip-chip devices;
solders;
thermal analysis;
ASIC;
dynamic performance;
dynamic shock performance;
flip-chip BGA packages;
intermetallic compounds;
intermetallics;
isothermal aging effect;
lead-free solder joint;
pad cratering;
temperature 100 C;
46.
Reliability of Sn3wtAg0.5wtCu0.019wtCe (SACC) solder joints
机译:
Sn3wt%Ag0.5wt%Cu0.019wt%Ce(SACC)焊点的可靠性
作者:
Lau J.H.
;
Po Tse
;
Richard E.
;
Dauksher W.
;
Shangguan D.
;
Pang J.H.L.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ball grid arrays;
chip scale packaging;
finite element analysis;
plastic packaging;
reliability;
solders;
tin alloys;
BCC;
PBGA;
SnAgCuCe;
WLCSP;
bumped chip carrier;
finite element modeling;
lead-free PCB;
lead-free PQFP;
lead-free solder joints;
plastic ball grid array;
plastic quad flat pack;
printed circuit board;
solder joints reliability;
wafer-level chip scale package;
47.
Low cost wafer-level 3-D integration without TSV
机译:
无需TSV的低成本晶圆级3-D集成
作者:
Topper M.
;
Baumgartner T.
;
Klein M.
;
Fritzsch T.
;
Roeder J.
;
Lutz M.
;
von Suchodoletz M.
;
Oppermann H.
;
Reichl H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
copper;
integrated circuit interconnections;
metallisation;
reliability;
sensors;
thin films;
titanium;
tungsten;
wafer level packaging;
CMOS;
Cyclotene;
Ti:W-Cu;
electroplating;
interconnection;
interlevel low k dielectric;
low cost wafer-level 3-D integration;
metallization;
packaging industry;
planar integration technology;
reliability test;
sensor wafer;
standard redistribution infrastructure;
thin chip integration technology;
thin film routing;
tie layer;
ultrathin bare dice;
wafer level thinning;
wafer-level thin fi;
48.
High density Cu-Sn TLP bonding for 3D integration
机译:
用于3D集成的高密度Cu-Sn TLP键合
作者:
Agarwal R.
;
Zhang W.
;
Limaye P.
;
Ruythooren W.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
copper alloys;
integrated circuit bonding;
integrated circuit interconnections;
microassembling;
tin alloys;
3D die stacking;
3D integration;
Cu-Sn;
die-to-die setup;
die-to-wafer setup;
high density TLP bonding;
high speed interconnects;
multiple dies;
no flow underfill;
sequential stacking;
temperature 250 degC;
thermal budget minimization;
throughput enhancement;
transient liquid phase bonding;
vertical interconnections;
49.
Three dimensional interconnects with high aspect ratio TSVs and fine pitch solder microbumps
机译:
具有高长宽比TSV和精细间距焊料微凸块的三维互连
作者:
Yu A.
;
Lau J.H.
;
Soon Wee Ho
;
Kumar A.
;
Hnin Wai Yin
;
Jong Ming Ching
;
Kripesh V.
;
Pinjala D.
;
Chen S.
;
Chien-Feng Chan
;
Chun-Chieh Chao
;
Chi-Hsin Chiu
;
Chih-Ming Huang
;
Chen C.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
copper alloys;
fine-pitch technology;
integrated circuit interconnections;
metallurgy;
silicon;
solders;
tin alloys;
CuSn;
Si;
electrical nickel;
fine pitch solder microbumps;
high density 3D interconnects;
immersion gold pads;
integrated circuit interconnection;
silicon chip;
through silicon vias;
under bump metallurgy;
50.
Characterization of MOS transistor after through-hole electrode fabrication and 3D-assembly by mechanical caulking
机译:
机械穿孔后的通孔电极制造和3D组装后MOS晶体管的特性
作者:
Kawashita M.
;
Yoshimura Y.
;
Tanaka N.
;
Shimokawa H.
;
Kinoshita N.
;
Uematsu T.
;
Fujisawa M.
;
Naito T.
;
Akazawa T.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
MOSFET;
deformation;
dielectric thin films;
etching;
integrated circuit interconnections;
3D-assembly;
Au stud-bumps;
MOS transistor;
chip-to-chip interconnection method;
deformation-injection;
electrical interconnection;
interlayer dielectric film;
mechanical caulking;
metal layers;
saturation current;
stacked chips;
temperature 293 K to 298 K;
through-hole electrode fabrication;
through-hole electrodes;
via-last processing;
wire bonding;
51.
Modified diffusion bond process for chemical mechanical polishing (CMP)-Cu at 150°C in ambient air
机译:
用于环境空气中150°C的化学机械抛光(CMP)-Cu的改良扩散结合工艺
作者:
Shigetou A.
;
Suga T.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chemical mechanical polishing;
copper;
cryogenic electronics;
diffusion bonding;
solvation;
thin film devices;
CMP;
Cu;
bonding strength;
film breakage;
hydration;
interfacial layer;
low-temperature diffusion bonding;
modified diffusion bond process;
moisture-induced generation;
temperature 150 C;
thin film electrodes;
time 600 s;
52.
Controlling Cu electroplating to prevent sporadic voiding in Cu
3
Sn
机译:
控制电镀铜以防止Cu
3 inf> Sn出现零星空洞
作者:
Liang Yin
;
Kondos P.
;
Borgesen P.
;
Yihua Liu
;
Bliznakov S.
;
Wafula F.
;
Dimitrov N.
;
Henderson D.W.
;
Parks C.
;
Mao Gao
;
Therriault J.
;
Ju Wang
;
Cotts E.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adsorption;
ageing;
copper;
copper alloys;
electroplating;
impurities;
organic compounds;
soldering;
tin alloys;
Cu;
Cu electroplating;
Cusub3/subSn;
aqueous plating solutions;
organic impurity incorporation;
organic molecules;
parabolic adsorption;
sporadic voiding;
thermal aging;
53.
Fully embedded UWB filter into organic packaging substrate
机译:
将UWB滤波器完全嵌入有机包装基板中
作者:
Lim S.P.
;
Park J.Y.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
Chebyshev filters;
band-pass filters;
capacitors;
embedded systems;
inductors;
microwave filters;
resonators;
wireless LAN;
Chebyshev circuit topology;
J-inverter transformation technology;
capacitance J-inverters;
embedded filter circuit elements;
finite transmission zeros;
frequency 3.1 GHz to 4.75 GHz;
frequency 5.15 GHz;
organic packaging substrate;
shunt-type LC resonators;
transmission zero capacitor;
transmission zero inductors;
ultra wideband bandpass filter;
wireless LAN band;
54.
Electrografted seed layers for metallization of deep TSV structures
机译:
电接枝种子层用于深TSV结构的金属化
作者:
Raynal F.
;
Zahraoui S.
;
Frederich N.
;
Gonzalez J.
;
Couturier B.
;
Truzzi C.
;
Lerner S.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
X-ray diffraction;
electron backscattering;
electroplating;
metallisation;
secondary ion mass spectroscopy;
time of flight mass spectrometers;
deep TSV structures;
electrografted seed layers;
electron backscattered diffraction;
electroplating tools;
mechanical stress analysis;
metallization;
sheet resistance measurement;
through silicon via;
time-of-flight secondary ion mass spectrometry;
55.
Reliability study of high-end Pb-free CBGA solder joint under various thermal cycling test conditions
机译:
各种热循环测试条件下高端无铅CBGA焊点的可靠性研究
作者:
Dongji Xie
;
Gektin V.
;
Geiger D.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ball grid arrays;
ceramic packaging;
circuit reliability;
finite element analysis;
printed circuit testing;
solders;
thermal analysis;
CBGA packaging;
Norris-Landzberg equation;
acceleration factor;
ceramic ball grid array;
finite element model;
leadfree soldering;
solder joint reliability;
thermal cycling test conditions;
thermal expansion;
56.
Characterization and testing of novel polarized nanomaterial textiles for ultrasensitive wireless gas sensors
机译:
用于超灵敏无线气体传感器的新型极化纳米材料纺织品的表征和测试
作者:
Trang Thai
;
Ratner J.
;
Wenhua Chen
;
DeJean G.
;
Tentzeris M.M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
S-parameters;
carbon nanotubes;
gas sensors;
nanostructured materials;
rectangular waveguides;
C;
Ka-band;
electrical properties;
frequency 26.5 GHz to 40 GHz;
gas molecule adsorption;
polarized nanomaterial textiles;
rectangular waveguide measurements;
scattering parameters;
ultrasensitive wireless gas sensors;
57.
Novel packaging with rematable spring interconnect chips for MCM
机译:
带有用于MCM的可调整弹簧互连芯片的新型封装
作者:
Shubin I.
;
Chow E.M.
;
Cunningham J.
;
De Bruyker D.
;
Chua C.
;
Cheng B.
;
Knights J.C.
;
Sahasrabuddhe K.
;
Luo Y.
;
Chow A.
;
Simons J.
;
Krishnamoorthy A.V.
;
Hopkins R.
;
Drost R.
;
Ho R.
;
Douglas D.
;
Mitchell J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
elemental semiconductors;
etching;
integrated circuit interconnections;
integrated circuit packaging;
integrated circuit reliability;
integrated circuit testing;
micromachining;
micromechanical devices;
multichip modules;
silicon;
Si;
chip matching;
circuit packaging;
circuit testing reliability;
microchip module;
microspring;
rematable spring interconnect chip;
silicon micromachined feature;
size 180 mum;
temperature 0 degC to 100 degC;
wet etch forming;
58.
W-band cross-coupled filters and a duplexer on a thin-film substrate for low-cost front-end integration
机译:
W波段交叉耦合滤波器和薄膜基板上的双工器,可实现低成本的前端集成
作者:
Jimin Maeng
;
Namcheol Jeon
;
Sangsub Song
;
Kwangseok Seo
会议名称:
《》
|
2009年
关键词:
isolation technology;
microstrip filters;
microwave filters;
multichip modules;
thin film circuits;
transceivers;
T-junction matching;
W-band cross-coupled filters;
W-band transceiver;
bandwidth 6.5 GHz;
bandwidth 6.7 GHz;
cross-coupling method;
duplexer;
frequency 80 GHz;
frequency 94 GHz;
isolation characteristics;
low-cost front-end integration;
planar thin-film microstrip line platform;
thin-film multichip module technology;
thin-film substrate;
59.
A micromachined V-band CMOS bandpass filter with 2-dB insertion-loss
机译:
具有2 dB插入损耗的微机械V波段CMOS带通滤波器
作者:
Jin-Fa Chang
;
Yo-Sheng Lin
;
Pen-Li Huang
;
Shey-Shi Lu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
band-pass filters;
capacitors;
circuit tuning;
micromachining;
millimetre wave filters;
sputter etching;
ICP etching;
frequency 52.5 GHz to 76.80 GHz;
inductively-coupled plasma deep trench technology;
loss 2 dB;
micromachined V-band CMOS bandpass filter;
parallel-feedback capacitor;
series-feedback capacitor;
CMOS;
V-band;
bandpass filter;
insertion loss;
micromachined;
60.
Chip-Package co-design of 10 GHz bandwidth low noise active front-end interface
机译:
10 GHz带宽低噪声有源前端接口的芯片封装协同设计
作者:
Fourquin O.
;
Battista M.
;
Cubillo J.R.
;
Gaubert J.
;
Bourdel S.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
chip scale packaging;
lead bonding;
low noise amplifiers;
low-pass filters;
CMOS technology;
UWB LNA;
bandwidth 10 GHz;
carrier-to-die bonding wire transition;
chip-package co-design;
common gate amplifier architecture;
frequency 3.1 GHz to 10.6 GHz;
low-noise active front-end interface;
low-pass filter;
power 8.6 mW;
size 0.13 mum;
61.
A new COF bonding technique using Sn bumps and a non-conductive adhesive (NCA) for image sensor packaging
机译:
使用锡凸块和非导电粘合剂(NCA)的新型COF粘合技术,用于图像传感器包装
作者:
Kyoung-Moo Harr
;
Young-Min Kim
;
Dae Hwan Lim
;
Young-Ho Kim
;
Jin-Gu Kim
;
Sung Yi
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesive bonding;
electronics packaging;
flexible electronics;
image sensors;
life testing;
Sn;
chip-on-flex bonding technology;
contact resistance;
high temperature storage test;
humidity test;
image sensor packaging;
nonconductive adhesive;
pressure 90 MPa;
temperature 150 C;
temperature 180 C;
temperature 248.15 K to 398.15 K;
temperature test;
thermal cycling test;
time 5 s;
62.
Highly-reliable, 30µm pitch copper interconnects using nano-ACF/NCF
机译:
使用纳米ACF / NCF的高度可靠的30μm节距铜互连
作者:
Kumbhat N.
;
Choudhury A.
;
Raine M.
;
Mehrotra G.
;
Raj P.M.
;
Zhang R.
;
Moon K.S.
;
Chatterjee R.
;
Sundaram V.
;
Meyer-Berg G.
;
Wong C.P.
;
Tummala R.R.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
copper;
flip-chip devices;
integrated circuit interconnections;
integrated circuit packaging;
integrated circuit reliability;
nanoelectronics;
stress-strain relations;
Cu;
daisy chain resistance;
flip chip packaging;
high temperature storage life test;
highly-reliable pitch copper interconnects;
mobile electronics systems;
nanoanisotropic conductive film-nonconductive film;
organic first level interconnections;
organic substrates;
package level reliability;
portable electronics systems;
size 30 mum;
stress-strain;
63.
A new prediction methodology for electromigration-induced solder degradation in a WL-CSP system
机译:
WL-CSP系统中电迁移引起的焊料降解的新预测方法
作者:
Yong Liu
;
Qiang Wang
;
Lihua Liang
;
Xuefan Chen
;
Irving S.
;
Luk T.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
electromigration;
finite element analysis;
solders;
wafer level packaging;
WL-CSP system;
atomic concentration gradient items;
discretized residual weight method;
electromigration induced void generation;
electromigration-induced solder degradation;
prediction methodology;
solder bumps;
time to failure;
wafer level chip scale package;
64.
Study of FC
M
BGA with low CTE core substrate
机译:
低CTE核心基板的FC
M sup> BGA的研究
作者:
Boo Yang Jung
;
Jae Yun Gim
;
Min Yoo
;
Jae Dong Kim
;
Choon Heung Lee
;
Jimarez M.
;
Islam N.
;
Darveaux R.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ball grid arrays;
flip-chip devices;
reliability;
thermal expansion;
thermal management (packaging);
transfer moulding;
CTE core substrate;
FCsupM/supBGA;
TC 1500 cycles;
coefficient of thermal expansion;
flip chip package;
high-performance device packaging;
moisture resistance testing;
reliability test;
single piece lidded package construction;
thermal cycling;
transfer molding process;
65.
Innovative approaches in flip chip packaging for mobile applications
机译:
用于移动应用的倒装芯片封装的创新方法
作者:
Pendse R.
;
Joshi M.
;
Kim K.M.
;
Kim P.
;
Kim S.S.
;
Kim Y.C.
;
Lee H.T.
;
Lee K.
;
Lee S.Y.
;
Lee T.K.
;
Murphy A.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
flip-chip devices;
interconnections;
lead bonding;
network routing;
wafer level packaging;
bumped wafer handling;
flip chip packaging;
lead-free packaging;
mobile applications;
mold underfill technology;
routing-efficient interconnection;
substrate technology;
thinning methods;
wire bonding;
66.
Underfill delamination to chip sidewall in advanced flip chip packages
机译:
在高级倒装芯片封装中底部填充分层至芯片侧壁
作者:
Paquet M.-C.
;
Sylvestre J.
;
Gros E.
;
Boyer N.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
adhesion;
ball grid arrays;
delamination;
finite element analysis;
flip-chip devices;
plastic packaging;
thermal expansion;
chip sidewall;
coefficient of thermal expansion;
failure mechanisms;
finite element modeling;
flip chip packages;
plastic ball grid array packages;
underfill delamination;
67.
A product feasibility study of assembling Pb-free BGAs in a eutectic Sn/Pb process
机译:
在共晶锡/铅工艺中组装无铅BGA的产品可行性研究
作者:
Logterman M.
;
Gopalakrishnan L.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
RoHS compliance;
ball grid arrays;
integrated circuit reliability;
printed circuits;
solders;
surface mount technology;
PCB;
RoHS-6 requirements;
assembly process;
backward compatible assembly;
diffusion/mixing;
eutectic process;
lead free BGA;
product feasibility study;
reflow temperatures;
solder joint reliability;
solder paste;
surface mounting;
thermal cycling;
68.
Thin high-impedance metamaterial substrate and its use in low profile antennas suitable for system integration
机译:
薄型高阻抗超材料基底及其在适合系统集成的薄型天线中的使用
作者:
Vallecchi A.
;
Capolino F.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
antenna feeds;
dipole antennas;
metamaterials;
microstrip antennas;
planar antennas;
slabs;
substrates;
TEN model;
feed structures;
high-impedance thin slab;
integrated low-profile dipole antennas;
lossy structure;
low-profile planar antenna;
metallic dipole;
parallel metamaterial substrate design;
printed dipole;
reflection properties;
transverse equivalent network;
69.
Study of mounting fine pitch IC chips and passive components with Elasticity Bonding System
机译:
利用弹性粘接系统安装细间距IC芯片和无源元件的研究
作者:
Kojima R.
;
Matsumura T.
;
Furuta K.
;
Hamazaki K.
;
Nishimura J.
;
Suga Y.
;
Takeichi M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
elasticity;
fine-pitch technology;
integrated circuit bonding;
integrated circuit reliability;
conductive resistance reliability;
elasticity bonding system;
mounting fine pitch IC chips;
passive components;
pressure cooker test;
temperature 100 degC;
temperature cycle test;
70.
Achieving high reliability low cost lead-free SAC solder joints via Mn or Ce doping
机译:
通过掺杂Mn或Ce实现高可靠性,低成本的无铅SAC焊点
作者:
Weiping Liu
;
Ning-Cheng Lee
;
Porras A.
;
Min Ding
;
Gallagher A.
;
Huang A.
;
Chen S.
;
ChangBing Lee J.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
bending;
cerium alloys;
copper alloys;
doping;
heat treatment;
manganese alloys;
reliability;
silver alloys;
solders;
tin alloys;
BGA packages;
SnAgCuCe;
SnAgCuMn;
cyclic bending;
dynamic bending;
lead-free solder joints;
stabilized microstructure;
surface finish;
thermal cycling;
Ce;
Lead-free;
Mn;
SAC;
SnAgCu;
drop;
solder;
71.
A novel compact polymeric wavelength triplexer designed for 10Gb/s TDM-PON based on cascaded-step-size multimode interference
机译:
基于级联步长多模干扰设计用于10Gb / s TDM-PON的新型紧凑型聚合物波长三工器
作者:
Shu-Hao Fan
;
Guidotti D.
;
Hung-Chang Chien
;
Gee-Kung Chang
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
demultiplexing equipment;
multiplexing equipment;
optical beam splitters;
optical design techniques;
optical fibre networks;
optical polymers;
time division multiplexing;
transceivers;
TDM-PON access network;
bit rate 10 Gbit/s;
cascaded-step-size multimode interference;
demultiplexer;
optical channel;
optical network unit;
polymeric wavelength splitter;
time-division-multiplexing passive optical network;
transceiver;
ultrashort polymeric optical multiplexer design;
wavelength 1310 nm;
wavelength 1490 nm;
wavelengt;
72.
Electromagnetic noise reduction of TEG package using novel ferrite film plated lead frame
机译:
新型铁氧体镀膜引线框架降低TEG封装的电磁噪声
作者:
Ono H.
;
Kondo K.
;
Masuda N.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
electric noise measurement;
electronics packaging;
electroplating;
ferrites;
magnetic thin films;
microwave devices;
nickel alloys;
zinc alloys;
Ni-Zn;
conduction noise;
electromagnetic interference;
electromagnetic noise reduction;
ferrite film plated lead frame;
magnetic thin film noise suppressor;
test element group package;
73.
Copyright
机译:
版权
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
74.
Easy to fill sloped vias for interconnects applications improved control of silicon tapered etch profile
机译:
易于填充用于互连应用的倾斜通孔,改善了对硅锥形蚀刻轮廓的控制
作者:
Heraud S.
;
Short C.
;
Ashraf H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
elemental semiconductors;
etching;
integrated circuit interconnections;
silicon;
CMOS applications;
ICP machine;
MEMS packaging;
SF6/O2 chemistry;
interconnects applications;
tapered etch process;
tapered etch profile;
through silicon via interconnects;
75.
Affiliation index
机译:
隶属指数
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
76.
Lateral compliance and elastic stability of a dual-coated optical fiber of finite length, with application to nano-rods embedded into low-modulus elastic media
机译:
有限长度双涂层光纤的横向柔度和弹性稳定性,适用于嵌入低模量弹性介质的纳米棒
作者:
Suhir E.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
cantilevers;
carbon nanotubes;
nanofibres;
nanowires;
optical fibres;
optical interconnections;
axial compression;
cantilever;
carbon nanotube;
coating materials;
dual-coated optical fiber;
elastic stability;
embedded nanorods;
heat-spreaders;
lateral compliance;
lateral loading;
low-modulus elastic media;
nanofiber;
nanotechnology;
nanowire;
optical fiber interconnect;
photonics;
77.
A fluxless bonding process using AuSn or Indium for a miniaturized hermetic package
机译:
使用AuSn或铟进行微型密封封装的无助焊剂焊接工艺
作者:
Volpert M.
;
Kopp C.
;
Routin J.
;
Gasse A.
;
Bernabe S.
;
Rossat C.
;
Tournair M.
;
Hamelin R.
;
Lecocq V.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
eutectic alloys;
gold alloys;
hermetic seals;
indium;
integrated circuit bonding;
integrated circuit interconnections;
tape automated bonding;
tin alloys;
AuSn;
In;
MEMS devices;
fluxless bonding process;
fluxless controlled thermocompression;
miniaturized hermetic package;
seal ring;
78.
Electromigration study of 50 µm pitch micro solder bumps using four-point Kelvin structure
机译:
使用四点开尔文结构对50 µm间距微型焊料凸块进行电迁移研究
作者:
Da-Quan Yu
;
Tai Chong Chai
;
Meei Ling Thew
;
Yue Ying Ong
;
Rao V.S.
;
Leong Ching Wai
;
Lau J.H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chemical interdiffusion;
copper;
current density;
electrical resistivity;
electromigration;
flip-chip devices;
gold;
interconnections;
metallisation;
nickel;
silver alloys;
tin alloys;
Cu-SnAg;
Kirkendall voids;
Ni-Au;
cracks;
current stressing;
diffusion;
electrical resistance;
electroless pads;
electron flow direction;
flip chip joints;
four-point Kelvin structure;
high temperature intermetallic compounds;
micro solder bumps;
solder bump interconnects;
temperature 100 degC to 140 degC;
under bump metallization;
79.
Advanced interconnect materials for ink-jet printing by low temperature sintering
机译:
通过低温烧结进行喷墨打印的高级互连材料
作者:
Rongwei Zhang
;
Wong C.P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
conductive adhesives;
electronics packaging;
integrated circuit interconnections;
integrated circuit metallisation;
microassembling;
advanced interconnect material;
electrically conductive adhesive;
ink jet printing;
lead free reflow profile;
low temperature sintering;
temperature 180 C;
conductive adhesive;
ink-jet printing;
interconnect;
silver nanoparticles;
80.
Embedded wafer level packages with laterally placed and vertically stacked thin dies
机译:
具有横向放置和垂直堆叠的薄裸片的嵌入式晶圆级封装
作者:
Sharma G.
;
Rao V.S.
;
Kumar A.
;
Su N.
;
Lim Ying Ying
;
Khong Chee Houe
;
Lim S.
;
Sekhar V.N.
;
Rajoo R.
;
Kripesh V.
;
Lau J.H.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
compression moulding;
encapsulation;
life testing;
moisture;
reliability;
thin film capacitors;
thin film inductors;
wafer level packaging;
3D stacking;
Q factor;
air to air thermal cycling;
capacitors;
compression molding;
drop test reliability;
embedded micro wafer level packages;
highly accelerated stress testing;
inductors;
mechanical reliability;
moisture sensitivity level;
multi-chip packages;
solder ball pitch;
temperature -40 degC to 125 degC;
thermo-mechanical simulation;
thin dies;
thin film passives;
wafer en;
81.
Via first approach optimisation for Through Silicon Via applications
机译:
通过硅通孔应用的第一方法优化
作者:
Laviron C.
;
Dunne B.
;
Lapras V.
;
Galbiati P.
;
Henry D.
;
Toia F.
;
Moreau S.
;
Anciant R.
;
Brunet-Manquat C.
;
Sillon N.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chemical mechanical polishing;
etching;
interconnections;
isolation technology;
proximity effect (lithography);
surface finishing;
surface topography;
3D stacking;
BOX etch profile;
Kelvin structures;
Si;
contact processes;
deep reactive ion etch;
high-temperature oxide;
interdigitated chains;
metallization processes;
proximity impact;
size 100 mum;
stress release;
surface finishing techniques;
surface topology;
thinning;
through silicon via applications;
via filling step;
via sidewall isolation;
voids;
voltage 1000 V;
vo;
82.
Patterning of wafer level applied non-conductive adhesives (NCAs) for camera image sensor (CIS) modules
机译:
用于相机图像传感器(CIS)模块的晶圆级非导电胶(NCA)的图案
作者:
Il Kim
;
Ho-Young Son
;
Kyung-Wook Paik
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
Fourier transform spectra;
adhesive bonding;
copper;
flip-chip devices;
image sensors;
infrared spectra;
masks;
microassembling;
modules;
passivation;
photoresists;
printed circuits;
punching;
reliability;
sputter etching;
wafer level packaging;
Cu;
FT-IR;
bumped wafer;
camera image sensor modules;
chemical structural change;
chemical structure;
contact resistances;
descumming method;
ferric chloride solution;
flip chip assembly;
humidity;
mask;
nonconductive adhesives;
photoresist lithography;
punched FPCB;
reactive ion etch;
83.
Experimental and numerical verification of water ingress into a void of plastic packages by quick diffusion
机译:
通过快速扩散将水渗入塑料包装的空隙中的实验和数值验证
作者:
Haojun Zhang
;
Seungbae Park
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
cracks;
finite element analysis;
moisture;
plastic packaging;
reflow soldering;
voids (solid);
3D finite element models;
catastrophic crack propagation;
crack initiation;
moisture diffusion;
package interface void;
plastic packages;
pop-corning;
water ingress;
electronic package;
void;
84.
Electrical properties of ACA joints assisted by conjugated molecular wires
机译:
共轭分子线辅助的ACA接头的电性能
作者:
Rongwei Zhang
;
Kyoung-sik Moon
;
Wei Lin
;
Wong C.P.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
conducting polymers;
conductive adhesives;
electrical conductivity;
filled polymers;
integrated circuit interconnections;
ACA joints;
anisotropic conductive adhesives;
conductive fillers;
conjugated dithiols;
conjugated molecular wires;
current carrying capability;
electrical properties;
electron transport;
high power devices;
joint resistance;
metal pads;
molecular wire junction;
the interconnection;
anisotropic conductive adhesive;
contact resistance;
electrical property;
molecular wire;
85.
Failure Mechanism of stacked CSP module under board-level drop impact
机译:
板级跌落冲击下堆叠式CSP模块的失效机理
作者:
Narravula V.
;
Cheng-fu Chen
;
Peterson D.C.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
electronics packaging;
solders;
board-level drop impact;
chip scale packages;
failure mechanism;
high-density 3D electronic packaging;
stacked CSP module;
86.
Explicit submodeling and digital image correlation based life-prediction of leadfree electronics under shock-impact
机译:
显式子建模和基于数字图像相关性的无铅电子产品在冲击作用下的寿命预测
作者:
Lall P.
;
Shantaram S.
;
Angral A.
;
Kulkarni M.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
ageing;
assembling;
copper;
copper alloys;
finite element analysis;
image processing;
integrated circuit interconnections;
integrated circuit packaging;
life testing;
printed circuits;
shock wave effects;
silver alloys;
solders;
tin alloys;
vibrations;
SnAg;
SnAgCu;
assemblies;
attachment degrees of freedom;
cohesive-zone elements;
copper-solder interface;
digital image correlation;
drop reliability;
explicit finite-element sub-modeling;
explicit nonlinear finite element models;
extract transient interconnect strain his;
87.
Characterization of epoxy/BaTiO
3
composite embedded capacitors for high frequency behaviors
机译:
环氧树脂/ BaTiO
3 inf>复合嵌入式电容器的高频特性表征
作者:
Jin-Gul Hyun
;
Kyung-Wook Paik
;
Jun So Pak
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
barium compounds;
capacitors;
ceramics;
copper;
curing;
dielectric losses;
electronics packaging;
filled polymers;
laminations;
permittivity;
sputter etching;
ultrasonic cleaning;
BaTiOsub3/sub;
Cu;
RIE treatment;
Si;
Si wafer;
barium titanate powder;
dielectric loss;
electrodes;
electronic package systems;
electroplating;
epoxy composite embedded capacitors;
epoxy polymer resin;
foil;
high dielectric constant epoxy-ceramic composites;
high frequency behaviors;
lamination;
network analyzer;
patterning process;
plasma etc;
88.
Influences of filler geometry and content on effective thermal conductivity of thermal conductive adhesive
机译:
填料的几何形状和含量对导热胶有效导热系数的影响
作者:
Cong Yue
;
Yan Zhang
;
Liu J.
;
Inoue M.
;
Sijia Jiang
;
Zhaonian Cheng
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
conducting polymers;
conductive adhesives;
electrical resistivity;
filled polymers;
silver;
thermal conductivity;
thermal management (packaging);
Ag;
anisotropic thermal conductive property;
bimodal thermal conductive adhesive;
cubic cell model;
effective thermal conductivity;
electrical resistance;
epoxy matrix;
filler geometry;
filler geometry effects;
filler loading fraction;
in-plane electrical resistance;
silver flakes;
silver particles;
thermal interface materials;
thermal management;
89.
Nanotwin-modified copper interconnects and its effect on the physical properties of copper
机译:
纳米孪晶修饰的铜互连及其对铜物理性能的影响
作者:
Di Xu
;
Luhua Xu
;
Sriram V.
;
Ke Sun
;
Jenn-Ming Yang
;
King-Ning Tu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
annealing;
copper;
electroplating;
focused ion beam technology;
grain growth;
grain size;
hardness;
interconnections;
metallic thin films;
nanoindentation;
nanotechnology;
thermal stability;
twin boundaries;
Cu;
DC electroplation;
grain boundary;
grain boundary microstructure;
nanotwin-modified copper interconnects;
size 150 nm;
temperature 293 K to 298 K;
thin films;
90.
Study of 15µm pitch solder microbumps for 3D IC integration
机译:
用于3D IC集成的15μm间距焊料微凸块的研究
作者:
Aibin Yu
;
Lau J.H.
;
Soon Wee Ho
;
Kumar A.
;
Wai Yin Hnin
;
Da-Quan Yu
;
Ming Ching Jong
;
Kripesh V.
;
Pinjala D.
;
Dim-Lee Kwong
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
copper alloys;
electroless deposition;
electroplating;
joining processes;
solders;
stacking;
tin alloys;
3D IC integration;
3D stacking technology;
FC150 flip chip bonder;
Si chips;
bump metallurgy pads;
electroless plated nickel;
fine filler size;
immersion gold;
optimized bonding conditions;
pitch solder microbumps;
size 2 mum to 15 mum;
void free;
wafer assembly;
91.
Temperature dependency of coefficient of hygroscopic swelling of molding compound
机译:
模塑料吸湿膨胀系数的温度依赖性
作者:
Seungbae Park
;
Haojun Zhang
;
Xin Zhang
;
Siu Lung Ng
;
Ho Chong Lee
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
deformation;
failure analysis;
finite element analysis;
moisture measurement;
moulding;
sorption;
swelling;
thermal analysis;
coefficient-of-hygroscopic swelling;
digital image correlation;
failure;
moisture absorption;
molding compound;
plastic encapsulated packages;
temperature 25 degC to 100 degC;
thermal gravitational analysis;
thermal mechanical analyzer;
coefficient of hygroscopic swell (CHS);
digital imaging correlation (DIC);
hygroscopic swelling;
92.
Rate dependence of bending fatigue failure characteristics of lead-free solder joint
机译:
无铅焊点弯曲疲劳破坏特性的速率依赖性
作者:
Woong Ho Bang
;
Liang-Shan Chen
;
Choong-Un Kim
;
Tae-Kyu Lee
;
Kuo-Chuan Liu
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
bending;
copper alloys;
fatigue testing;
finite element analysis;
plastic deformation;
silver alloys;
solders;
tin alloys;
SnAgCu;
bending fatigue tests;
fatigue life cycle;
isothermal bending fatigue failure;
solder joints;
93.
Underfill optimization under accelerated temperature cycling and drop-impact loading for stacked packages using finite element modeling
机译:
使用有限元建模,在加速温度循环和跌落冲击载荷下对堆叠式包装进行底部填充优化
作者:
Schneck N.
;
Johnson Z.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
chip scale packaging;
elastic moduli;
failure analysis;
finite element analysis;
impact (mechanical);
optimisation;
solders;
stress analysis;
thermal expansion;
thermal management (packaging);
ANSYS;
Anand constitutive model;
LS DYNA;
Snsub63/subPbsub37/sub;
Snsub96.5/subAgsub3.0/subCusub0.5/sub;
accelerated temperature cycling;
damage parameter;
drop-impact loading;
elastic modulus;
finite element modeling;
pressure 2 GPa;
stacked chip scale package;
strain energy density;
thermal expansion coeffi;
94.
Design and characterization of wirebonds for use in high shock environments
机译:
用于高冲击环境的引线键合的设计与表征
作者:
Marinis T.F.
;
Soucy J.W.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
aluminium;
beams (structures);
bending;
cantilevers;
finite element analysis;
gold;
lead bonding;
mechanical testing;
nondestructive testing;
shock wave effects;
Al;
Au;
MEMS inertial sensors;
air gun;
cantilever beam model;
drop table shock loads;
hermetic chip carriers;
high shock environments;
parameterized finite element model;
sensor packages;
wire pull tests;
wirebond configurations;
95.
45 Degree polymer micro-mirror integration for board-level three-dimensional optical interconnects
机译:
用于板级三维光学互连的45度聚合物微镜集成
作者:
Fengtao Wang
;
Fuhan Liu
;
Adibi A.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
integrated optoelectronics;
micromirrors;
optical fabrication;
optical interconnections;
optical losses;
optical polymers;
optical waveguides;
photolithography;
printed circuit manufacture;
production facilities;
PCB manufacturing facility;
board-level 3D optical interconnect;
light coupling;
loss 1.6 dB;
optoelectronic device;
panel board-level manufacturing;
polymer micromirror integration;
polymer optical waveguide fabrication;
printed circuit board;
total internal reflection;
ultraviolet exposure beam;
water-pol;
96.
A 9.3-GHz-tuning-range, 58-GHz CMOS direct injection-locked frequency divider using input-power-matching technique
机译:
采用输入功率匹配技术的9.3 GHz调谐范围,58 GHz CMOS直接注入锁定分频器
作者:
Wei-Lun Hsu
;
Chang-Zhi Chen
;
Yo-Sheng Lin
;
Jin-Fa Chang
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
CMOS integrated circuits;
frequency dividers;
millimetre wave devices;
CMOS direct injection-locked frequency divider;
DILFD architecture;
frequency 48.9 GHz to 58.2 GHz;
input-power-matching technique;
locking-range enhancement;
power 8.45 mW;
voltage 1.3 V;
CMOS;
direct injection-locked frequency-divider (DILFD);
power-matching technique;
wide locking range;
97.
A bidirectional CWDM-PON system with capacity of 40-Gb/s for metro/access applications
机译:
双向CWDM-PON系统,容量为40 Gb / s,用于城域/接入应用
作者:
Pei-Hao Tseng
;
Wood-Hi Cheng
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
channel capacity;
chirp modulation;
distributed feedback lasers;
laser beams;
lithium compounds;
metropolitan area networks;
niobium compounds;
optical fibre communication;
optical fibre dispersion;
optical fibre networks;
optical pulse compression;
optical transmitters;
semiconductor lasers;
wavelength division multiplexing;
LD chirp effect;
LiNbOsub3/sub;
SMF transmission;
bidirectional CWDM-PON system;
bit rate 10 Gbit/s;
bit rate 40 Gbit/s;
distance 10 km;
distance 20 km;
distributed feedback laser diode;
fibe;
98.
Ultrafine-pitch C2 flip chip interconnections with solder-capped Cu pillar bumps
机译:
超细间距C2倒装芯片互连,带焊料封盖的铜柱凸块
作者:
Orii Y.
;
Toriyama K.
;
Noma H.
;
Oyama Y.
;
Nishiwaki H.
;
Ishida M.
;
Nishio T.
;
LaBianca N.C.
;
Feger C.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
aluminium;
copper;
fine-pitch technology;
grinding;
interconnections;
lead bonding;
microassembling;
reflow soldering;
reliability;
silver;
surface mount technology;
surface treatment;
system-on-package;
tin;
wafer level packaging;
C2 flip chip technology;
Cu;
SMT-flip chip hybrid assembly;
Sn-Ag-Al;
direct chip connection;
low-k device;
mount processes;
no-clean processes;
organic solder preservative;
post-encapsulation grinding;
reflow processes;
reliability testing;
solder bumps;
solder-capped copper pillar bumps;
stacke;
99.
A multi-test platform to evaluate the barrier properties of electronic encapsulants for advanced medical implants
机译:
评估高级医疗植入物电子密封剂的阻隔性能的多测试平台
作者:
Tathireddy P.
;
Jung E.
;
Bauer J.
;
Schneider A.
;
Khan-Malek C.
;
Marquardt K.
;
Solzbacher F.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
biomedical electronics;
cellular biophysics;
prosthetics;
ISO 10993;
aging monitoring structure;
cytoproliferation test;
cytotoxicity test;
electronic encapsulant barrier property evaluation;
medical implant;
100.
Accurate two-step measurement-based parasitic capacitance extraction for high speed memory interface
机译:
精确的基于两步测量的高速存储接口寄生电容提取
作者:
Jaemin Shin
;
Chang-Ki Kwon
;
Xiaonan Zhang
;
Michalka T.
会议名称:
《Electronic Components and Technology Conference, 2009. ECTC 2009》
|
2009年
关键词:
capacitance measurement;
high-speed integrated circuits;
integrated memory circuits;
high speed IO pad;
high speed memory interface;
memory bus designs;
memory driver;
on-die bypass capacitance;
parasitic capacitance extraction;
power bus resistance;
two step measurement process;
意见反馈
回到顶部
回到首页