掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
25th Annual BACUS Symposium on Photomask Technology pt.1
25th Annual BACUS Symposium on Photomask Technology pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Impact of DUV exposure on reticle repairs
机译:
DUV暴露对标线修复的影响
作者:
Vikram L. Tolani
;
Scott Chegwidden
;
Edgar C. Buenconsejo
;
Daniel Tanzil
;
Daniel J. Bald
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
exposure;
DUV;
FIB;
focused ion beam;
repair;
defect;
overspray;
halo;
carbon;
deposition;
reticle;
photomask;
2.
Post coat delay effects on chemically amplified resists and storage condition impacts
机译:
涂后延迟对化学放大的抗蚀剂的影响以及存储条件的影响
作者:
Daniel B. Sullivan
;
Kenneth C. Racette
;
Monica J. Barrett
;
R. Brian Couture
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
chemically amplified resist;
post coat delay;
photoresist;
critical dimension control;
storage conditions;
3.
Pattern Fidelity Performance from Next-Generation DUV Laser Lithography on 65nm Masks and Wafers
机译:
下一代DUV激光光刻在65nm掩模和晶圆上的图案保真度性能
作者:
Robert Kiefer
;
Peter Buck
;
Vishal Garg
;
Jason Hickethier
;
Curt Jackson
;
John Manfredo
;
Cris Morgante
;
Paul Allen
;
Michael White
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
CD uniformity;
DUV;
mask pattern generation system;
multi-beam;
OPC;
pattern fidelity;
4.
Pattern type specific modeling and correction methodology at high NA and off-axis illumination
机译:
在高NA和偏轴照明下特定于图案类型的建模和校正方法
作者:
Sungsoo Suh
;
Young-seog Kang
;
In-sung Kim
;
Sang-gyun Woo
;
Hanku Cho
;
Joo-tae Moon
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
lithography;
optical proximity correction;
model OPC;
OPC verification;
5.
Real-World Impact of Inverse Lithography Technology
机译:
反光刻技术的现实影响
作者:
Jonathan Ho
;
Yan Wang
;
Xin Wu
;
Wolfgang Leitermann
;
Benjamin Lin
;
Ming Feng Shieh
;
Jie-wei Sun
;
Orson Lin
;
Jason Lin
;
Yong Liu
;
Linyong Pang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
inverse lithography technology (ILT);
OPC;
RET;
lithography;
6.
Process Window Impact of Progressive Mask Defects, its Inspection and Disposition Techniques (go / no-go criteria) via a Lithographic Detector
机译:
渐进式掩模缺陷的工艺窗口影响,其检查和处置技术(通过/不通过标准)通过光刻检测器
作者:
Jerry Huang
;
Lan-Hsin Peng
;
Chih-Wei Chu
;
Kaustuve Bhattacharyya
;
Ben Eynon
;
Farzin Mirzaagha
;
Tony Dibiase
;
Kong Son
;
Jackie Cheng
;
Ellison Chen
;
Den Wang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask defects;
inspection;
crystal growth;
progressive;
MEEF;
lithography;
process window;
7.
Optical DC overlay measurement in the 2nd level process of 65 nm Alternating Phase Shift Mask
机译:
65 nm交替相移掩模的第二层处理中的光学DC覆盖测量
作者:
Jian Ma
;
Ke Han
;
Kyung Lee
;
Yulia Korobko
;
Mary Silva
;
Joas Chavez
;
Brian Irvine
;
Sven Henrichs
;
Kishore Chakravorty
;
Robert Olshausen
;
Mahesh Chramouli
;
Bobby Mammen
;
Ramaswamy Padmanaban
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
Mask;
APSM;
Optical;
Overlay;
2nd level;
Tool-induced shift;
Mask-induced shift;
8.
Model-based insertion and optimization of assist features with application to contact layers
机译:
基于模型的辅助功能的插入和优化,并应用于接触层
作者:
Shumay D. Shang
;
Yuri Granik
;
Lisa Swallow
;
Li-guo Zhang
;
Travis Brist
;
res Torres
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
optical proximity correction (OPC);
sub-resolution assist feature (SRAF);
process window;
contact layers;
mask optimization;
mask inversion;
resolution enhancement techniques (RET);
mask simplification;
9.
MOBILE METROLOGY FOR ADVANCED PHOTOMASK MANUFACTURING
机译:
先进的光掩模制造的移动计量
作者:
Paul MacDonald
;
Michael P. Goudy
;
Devi Koty
;
Henryson Omoregie
;
M. David Webster
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
10.
Modeling OPC Complexity for Design for Manufacturability
机译:
为可制造性设计的OPC复杂性建模
作者:
Puneet Gupta
;
rew B. Kahng
;
Swamy Muddu
;
Sam Nakagawa
;
Chul-Hong Park
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
OPC;
fracturing;
mask cost;
DFM;
11.
Mask Industry Assessment: 2005
机译:
口罩行业评估:2005年
作者:
Gilbert Shelden
;
Scott Hector
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
12.
Mask Design Rules (45 nm) - Time for Standardization
机译:
掩模设计规则(45 nm)-标准化时间
作者:
Mark Mason
;
Christopher J. Progler
;
Patrick Martin
;
Young-Mog Ham
;
Brian Dillon
;
Robert Pack
;
Mitch Heins
;
John Gookassian
;
John Garcia
;
Victor Boksha
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
13.
Mask Data Volume - Explosion or Damp Squib?
机译:
面罩数据量-爆炸还是潮湿?
作者:
Chris Spence
;
Scott Goad
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
optical proximity correction (OPC);
mask data prep (MDP);
variable shaped beam (VSB);
14.
Mask Pattern Quality Assurance based on Lithography Simulation with Fine Pixel SEM Image
机译:
基于光刻的精细像素SEM图像掩模图案质量保证
作者:
Mitsuyo Kariya
;
Eiji Yamanaka
;
Satoshi Tanaka
;
Takahiro Ikeda
;
Shinji Yamaguchi
;
Kohji Hashimoto
;
Masamitsu Itoh
;
Hideaki Kobayashi
;
Tsukasa Kawashima
;
Shogo Narukawa
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
SEM;
edge extraction;
lithography simulation;
optical proximity correction (OPC);
15.
Integration of Photolithographic Simulation and a Mask Repair System into a Single Concurrent Work Cell
机译:
将光刻模拟和掩模修复系统集成到单个并行工作单元中
作者:
Tod Robinson
;
Peter Brooker
;
Ron Bozak
;
David A. Lee
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
nanomachining;
AFM;
mask repair;
aerial image;
photolithography simulation;
16.
Improved file sizes and cycle times through optimization of GDSII Stream
机译:
通过优化GDSII流,改善了文件大小和循环时间
作者:
Chin Le
;
David Gariepy
会议名称:
《》
|
2005年
关键词:
GDSII Stream;
optimization;
compression;
tape-out;
17.
Implementation of Reflected light Die-to-Die Inspection and ReviewSmart to Improve 65nm DRAM Mask Fabrication
机译:
实施反射光芯片对芯片检查和ReviewSmart以改善65nm DRAM掩模的制造
作者:
Do Young Kim
;
Won Il Cho
;
Jin Hyung Park
;
Dong Hoon Chung
;
Byung Chul Cha
;
Seong Woon Choi
;
Woo Sung Han
;
Ki Hun Park
;
Nam Wook Kim
;
Carl Hess
;
Weimin Ma
;
David Kim
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
KLA5xx;
reviewsmart;
samsung;
DRAM;
ddR (die to database reflected) inspection;
pattern orientation and pattern background;
18.
Image enhancement technology to get fine defect image for FIB
机译:
图像增强技术可获取FIB的精细缺陷图像
作者:
Yongkyoo Choi
;
Heecheon Kim
;
Oscar Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask;
FIB;
nonlinear diffusion filter;
defect;
extraction;
image enhancement. review;
19.
Image imbalance compensation in alternating Phase-Shift Masks towards the 45 nm node through-pitch imaging
机译:
朝着45 nm节点通距成像交替相移掩模中的图像不平衡补偿
作者:
Lieve Van Look
;
Bryan Kasprowicz
;
Axel Zibold
;
Wolfgang Degel
;
Geert Venberghe
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
alternating phase-shift mask;
intensity imbalance;
image imbalance;
3D-mask simulation;
aerial image;
20.
Economic consequences of high throughput maskless lithography
机译:
高通量无掩模光刻的经济后果
作者:
John G. Hartley
;
Lakshmi Govindaraju
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
maskless;
lithography;
economics;
modeling;
21.
Evaluation of Transparent Etch Stop Layer phase shift mask patterning and comparison with the single trench undercut approach
机译:
透明蚀刻停止层相移掩模图案的评估以及与单沟槽底切方法的比较
作者:
Y. Rody
;
P. Martin
;
C. Couderc
;
P. Sixt
;
C. Gardin
;
K. Lucas
;
K. Patterson
;
C. Miramond-Collet
;
J. Belledent
;
R. Boone
;
A. Borjon
;
Y. Trouiller
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
AAPSM;
Mask technology;
OPC;
TESL;
22.
Evaluation of quartz dry etching performance for next generation phase-shift mask applications
机译:
评估下一代相移掩模应用中的石英干蚀刻性能
作者:
S. A. erson
;
T. Konishi
;
R. Koch
;
S. Yokoi
;
A. Kumar
;
I. Ibrahim
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
alt-PSM;
dry etch;
etch rate uniformity;
mask etch;
micro-trenching;
phase angle uniformity;
phase shift;
PSM;
quartz etch;
RET;
RIE Lag;
23.
EUVL Mask Manufacturing-Technologies and Results
机译:
EUVL掩模制造技术和结果
作者:
Florian Letzkus
;
Joerg Butschke
;
Mathias Irmscher
;
Holger Sailer
;
Uwe Dersch
;
Christian Holfeld
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
EUV mask;
dry etch;
absorber;
buffer;
proximity correction;
24.
Expanding Grayscale Capability of Direct-Write Grayscale Photomask By Using Modified Bi/In Compositions
机译:
通过修改的Bi / In成分扩展直接写入灰度光掩模的灰度功能
作者:
David K. Poon
;
Glenn H. Chapman
;
Chinheng Choo
;
Jun Wang
;
Yuqiang Tu
;
Michelle L. La Haye
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
direct-write photomask;
grayscale photomask;
expanding grayscale;
bimetallic thermal resist;
MEMS;
25.
Demonstration of a new mask structure using a bonded hard pellicle
机译:
演示使用粘合硬质薄膜的新型掩模结构
作者:
Philippe Thony
;
Beatrice Biasse
;
Marc Zussy
;
Giovanni Bianucci
;
Pietro Cantu
;
Daniel Henry
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask structure;
direct bonding;
hard pellicle;
aberration;
26.
Designing to Win in Sub-90nm Mask Production
机译:
设计赢得90nm以下掩模生产
作者:
Yuan Zhang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
design for manufacturing;
write time;
OPC;
mask cost;
magnification;
27.
DfM Requirements and ROI Analysis for System-on-Chip
机译:
片上系统的DfM要求和ROI分析
作者:
Artur Balasinski
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
design;
system-on-chip;
manufacturability;
design rules;
CAD flow;
ROI;
FMEA;
28.
DFM for Manufacturers and Designers
机译:
制造商和设计师的DFM
作者:
Philippe Hurat
;
Michel Cote
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
29.
Compensating Mask Topography Effects in CPL through-pitch Solutions toward the 45nm Node
机译:
补偿CPL通距解决方案中朝向45nm节点的掩模形貌影响
作者:
Joost Bekaert
;
Vicky Philipsen
;
Geert Venberghe
;
Doug van den Broeke
;
Wolfgang Degel
;
Axel Zibold
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
chromeless phase lithography;
mask topography;
bossung tilt;
mask etch depth;
rigorous 3D mask simulation;
30.
Characterization of Photomask Surface Cleaning with Cryogenic Aerosol Technique
机译:
低温气溶胶技术对光掩模表面清洁的表征
作者:
S. Banerjee
;
CC Lin
;
S. Su
;
C. Bowers
;
H. F. Chung
;
W. Brt
;
K. Tang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
CO_2 aerosol;
post-repair cleaning;
photomask;
particle removal;
haze;
phase and transmission change;
ESD;
31.
Calibration of compact OPC models using SEM contours
机译:
使用SEM轮廓校准紧凑型OPC模型
作者:
Yuri Granik
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
optical lithography;
optical proximity correction;
OPC;
resolution enhancement techniques;
RET;
metrology;
critical dimension;
32.
Applying reconfigurable RET across process window to create more robust manufacturing designs
机译:
在整个过程窗口中应用可重新配置的RET,以创建更可靠的制造设计
作者:
Mark Laurance
;
Abhishek Vikram
;
Melody Ma
;
William Volk
;
Melissa erson
;
Scott rews
;
Bo Su
;
Hong Du
;
Gaurav Verma
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
OPC;
halo;
optical proximity correction;
RET;
OPC reconfiguration;
process window;
Halo-OPC;
DesignScan;
33.
Advanced mask cleaning techniques for sub-100-nm technology nodes
机译:
低于100纳米技术节点的先进掩模清洗技术
作者:
James S. Papanu
;
Roman Gouk
;
Cole Franklin
;
Han-Wen Chen
;
Steven Verhaverbeke
;
Alexer Ko
;
Kent Child
;
Pieter Boelen
;
Suresh Shrauti
;
Elias Martinez
;
Brian J. Brown
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomasks;
wet cleaning;
ozonated DI;
dry strip;
34.
A novel strategy of lithography-error-budget optimization for the 65-nm node: mask specifications for hyper-NA imaging
机译:
针对65 nm节点的光刻错误预算优化的新策略:超NA成像的掩模规格
作者:
Kazuya Iwase
;
Kiichi Ishikawa
;
Koichi Takeuchi
;
Ken Ozawa
;
Fumikatsu Uesawa
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
immersion lithography;
mask;
specification;
hyper-NA;
printability;
error budget;
35.
A study of Cr to Mosi in-situ. dry etching process to reduce plasma induced defect
机译:
Cr到Mosi的原位研究。干蚀刻工艺可减少等离子体引起的缺陷
作者:
Il-Yong Jang
;
Young-Ju Park
;
Hyuk-Joo Kwon
;
Seong-Yong Moon
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomask;
Cr;
MoSiON;
in-situ. dry etch;
plasma;
chlorine;
36.
A Films Based Approach to Intensity Imbalance Correction for 65 nm Node c:PSM
机译:
基于膜的65 nm节点c:PSM强度不平衡校正的方法
作者:
R Cottle
;
Pierre Sixt
;
Matt Lassiter
;
Marc Cangemi
;
Patrick Martin
;
Chris Progler
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
AAPSM;
c: PSM;
TESL;
RET;
OPC;
photomask;
reticle;
quartz etch;
37.
A Mask Manufacturer's Perspective on Maskless Lithography
机译:
掩模制造商对无掩模光刻的看法
作者:
Peter Buck
;
Charles Biechler
;
Franklin Kalk
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask;
photomask;
maskless lithography;
direct write lithography;
38.
Gray scaling in high performance optical pattern generators
机译:
高性能光学图案发生器中的灰度
作者:
Hans Martinsson
;
Tor Sstrom
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
gray scaling;
address resolution;
partial coherence;
SLM;
39.
Fracture Friendly Optical Proximity Correction
机译:
骨折友好型光学邻近矫正
作者:
Frank Amoroso
;
Michel Cote
;
Tanya Do
;
Robert Lugg
;
John Nogatch
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
fracture;
jogs;
optical proximity correction (OPC);
process bias;
reverse tone;
slivers;
40.
The impact of mask birefringence on Hyper-NA (NA > 1.0) Polarized Imaging
机译:
掩模双折射对Hyper-NA(NA> 1.0)偏振成像的影响
作者:
Bernd Geh
;
Donis G. Flagello
;
Chris Progler
;
Patrick M. Martin
;
Leonardus H.A. Leunissen
;
Steve Hansen
;
Wim de Boeij
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
hyper NA;
High NA;
polarization;
imaging;
immersion;
193nm;
low k1;
mask birefringence;
Stokes parameters;
41.
The detectability of Qz phase defects and its application for 65 nm node CPL mask manufacturing
机译:
Qz相位缺陷的可检测性及其在65 nm节点CPL掩模制造中的应用
作者:
Won Il Cho
;
Jin Hyung Park
;
Dong Hoon Chung
;
Sung Woon Choi
;
Woo Sung Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
RET;
chrome-less phase lithography;
CPL;
Qz phase defect;
inspection;
defect printability;
42.
Through-Process Window Resist Modelling Strategies for the 65 nm node
机译:
65 nm节点的全过程窗口抵抗建模策略
作者:
Amine Borjon
;
Jerome Belledent
;
Yorick Trouiller
;
Kyle Patterson
;
Kevin Lucas
;
Christophe Couderc
;
Frank Sundermann
;
Jean-Christophe Urbani
;
Stanislas Baron
;
Yves Rody
;
Christian Gardin
;
Frank Foussadier
;
Patrick Schiavone
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
OPC;
resist modelling;
process window;
ORC;
failure prediction;
43.
The surface treatment for prevention of growing defect
机译:
表面处理,防止生长缺陷
作者:
Jea-Young Jun
;
Ji-Sun Ryu
;
Yongk-Yoo Choi
;
Oscar Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
heat treatment;
haze;
roughness;
surface damage;
plasma damage;
44.
RIM-13: A high-resolution imaging tool for aerial image monitoring of EUV reticles
机译:
RIM-13:一种用于EUV标线空中图像监视的高分辨率成像工具
作者:
M Booth
;
A Brunton
;
J Cashmore
;
P Elbourn
;
G Elliner
;
M Gower
;
J Greuters
;
J Hirsch
;
L Kling
;
N McEntee
;
P Richards
;
V Truffert
;
I Wallhead
;
M Whitfield
;
R Hudyma
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
EUV lithography;
exposure;
inspection;
metrology;
aerial image monitoring;
reticle imaging microscope;
45.
Semiconductor Pattern Analysis with Induced Polarization
机译:
感应极化的半导体图案分析
作者:
Tao Chen
;
Tom Milster
;
Seung Hune Yang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
induced polarization imaging;
solid immersion lens (SIL);
near-field microscope;
high resolution;
46.
Photomasks Registration Specification and Its impact on FLASH Memory Devices
机译:
光掩模注册规范及其对闪存设备的影响
作者:
Enio Carpi
;
Stuart Brown
;
Florence Tan
;
Rick Edwards
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
scanner overlay correction;
mask registration;
FLASH memory;
exploratory data analysis;
47.
Performance of the ALTA 4700 with variable print strategy and optimized resist process
机译:
采用可变印刷策略和优化的抗蚀剂工艺的ALTA 4700的性能
作者:
Paul C. Allen
;
H. Christopher Hamaker
;
Cris Morgante
;
rew Berwick
;
Michael White
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
CD uniformity;
registration;
CW laser;
DUV;
mask pattern generation system;
multi-beam;
multi-pass;
48.
Mask Modeling in the Low k_1 and Ultrahigh NA Regime: Phase and Polarization Effects
机译:
低k_1和超高NA条件下的掩模建模:相位和偏振效应
作者:
Andreas Erdmann
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
lithography simulation;
mask topography;
mask polarization;
49.
Generating Mask Inspection Rules for Advanced Lithography
机译:
生成高级光刻的掩模检查规则
作者:
Karen Badger
;
Bill Broadbent
;
Aditya Dayal
;
Emily Gallagher
;
ChingYun Hsiang
;
Vincent Redding
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
MRC;
inspectability;
sensitivity;
DUV inspection;
database;
STARlight;
OPC;
50.
Haze Prevention and Phase/Transmission Preservation through Cleaning Process Optimization
机译:
通过清洁工艺优化防止雾霾和相位/传输
作者:
Jennifer Qin
;
Yuan Zhang
;
Rob Delgado
;
Barry Rockwell
;
Florence Tan
;
Khoi Phan
;
Lothar Berger
;
Min Liu
;
Uwe Dietez
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
haze;
mask cleaning;
phase;
transmission;
ion chromatography;
51.
First 65nm Tape-Out Using Inverse Lithography Technology (ILT)
机译:
使用反光刻技术(ILT)的首个65nm出带
作者:
Chi-Yuan Hung
;
Bin Zhang
;
Deming Tang
;
Eric Guo
;
Linyong Pang
;
Yong Liu
;
rew Moore
;
Kechang Wang
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
inverse lithography technology (ILT);
OPC;
RET;
lithography;
SRAM;
65nm technology;
52.
Vectorial effects in subwavelength mask imaging
机译:
亚波长掩模成像中的矢量效应
作者:
Wen-Hao Cheng
;
Jeff Farnsworth
;
Theodore M. Bloomstein
;
rew Grenville
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
ArF immersion lithography;
mask;
topography;
polarization;
resonance;
diffraction;
off axis illumination;
pellicle transmission;
53.
The Photomask Technologies in Hyper-NA Lithography
机译:
超NA光刻中的光掩模技术
作者:
Hidehiro Watanabe
;
Hidetoshi Ohnuma
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomask;
lithography;
immersion;
hyper NA;
54.
The impact of attenuated phase shift mask topography on hyper-NA lithography
机译:
衰减相移掩模形貌对超NA光刻的影响
作者:
Chris A. Mack
;
Mark D. Smith
;
Trey Graves
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
lithography modeling;
mask topography;
PROLITH;
55.
The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments
机译:
面具设备制造商的艰难商业模式以及财团和政府对面具基础设施开发的支持
作者:
Scott Hector
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
masks;
mask equipment;
business model;
discounted cash flow;
cost of ownership;
cost models;
56.
Template Manufacturing for Nanoimprint Lithography Using Existing Infrastructure
机译:
使用现有基础设施制造纳米压印光刻的模板
作者:
Mathias Irmscher
;
Joerg Butschke
;
Guenter Hess
;
Florian Letzkus
;
Markus Renno
;
Holger Sailer
;
Hubert Schulz
;
Anatol Schwersenz
;
Ecron Thompson
;
Boris Vratzov
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
chemically amplified resist;
nanoimprint;
template manufacturing;
e-beam lithography;
57.
Tolerable CD Variation Analyzer Using Perturbed Nominal Models Demonstrated on altPSM
机译:
使用altPSM演示的扰动名义模型的可容忍CD变异分析器
作者:
Ioana Graur
;
James A Culp
;
James Bruce
;
Mohamed Al-Imam
;
Mohamed Bahnas
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
58.
Reduction of MDP complexity through the application of OASIS based data flow
机译:
通过基于OASIS的数据流的应用降低MDP复杂性
作者:
Sung-Hoon Jang
;
Ji-Hyeon Choi
;
Ji-Soong Park
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask data preparation;
MDP;
photomask;
GDSII;
OASIS;
VSB11;
MEBES;
MRC;
fracturing;
verification;
59.
Simulation-based photomask qualification using i-Virtual Stepper™
机译:
使用i-Virtual Stepper™基于仿真的光掩模认证
作者:
Darren Taylor
;
Ray Morgan
;
Susan Hu
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
simulation-based defect disposition;
photomask qualification;
inspection;
i-virtual stepper;
i-VSS;
60.
Production performance of a Sigma7300 DUV Mask Writer
机译:
Sigma7300 DUV Mask Writer的生产性能
作者:
Bob Olshausen
;
Mahesh Chramouli
;
Dustin Wall
;
Bruce Auches
;
Damon Cole
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
PSM;
DUV;
SLM;
mask writer;
61.
OPC for Edge Post Structures Using Chrome-less Phase Shifting Mask in 3-D Memory
机译:
OPC在3-D存储器中使用无铬相移掩模的边缘柱结构
作者:
Yung-Tin Chen
;
M.T. Lee
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
OPC;
Post printing;
Adjustable transmission;
3-D memory;
Chrome-less phase shifting mask;
62.
OPC with Customized Asymmetric Pupil Illumination Fill
机译:
具有定制的不对称瞳孔照明填充的OPC
作者:
Christof Bodendorf
;
Jens Hassmann
;
Thomas Muelders
;
Karin Kurth
;
Joerg Thiele
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
optical lithography;
customized illumination;
anisotropic mapping;
optical proximity effect;
OPC;
63.
Optimized distributed computing environment for mask data preparation
机译:
优化的分布式计算环境,用于掩模数据准备
作者:
Byoung-Sup Ahn
;
Ju-Mi Bang
;
Min-Kyu Ji
;
Sun Kang
;
Sung-Hoon Jang
;
Yo-Han Choi
;
Won-Tai Ki
;
Seong-Woon Choi
;
Woo-Sung Han
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
distributed computing;
mask data preparation;
optical proximity correction;
64.
Noble development system to achieve defect-free process for 65nm node photomasks
机译:
Noble开发系统可实现65nm节点光掩模的无缺陷工艺
作者:
Hironori Sasaki
;
Shuichi Sanki
;
Ryugo Hikichi
;
Kiyoshi Ogawa
;
Akihiko Naito
;
Yukihiro Sato
;
Yasuyuki Kushida
;
Naoyuki Ishiwata
;
Hiroshi Maruyama
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
defects;
development;
CARs;
Bar-nozzle;
65.
Mask Lithography Assessment for 45nm Node Technology
机译:
45nm节点技术的掩模光刻技术评估
作者:
R. Scott Mackay
;
Henry Kamberian
;
Barry Rockwell
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomask: e-beam lithography;
pattern generator;
66.
Magnetron reactive sputtering of TaN and TaON films for EUV mask applications
机译:
用于EUV掩模的TaN和TaON膜的磁控反应溅射
作者:
Kyung m Lee
;
Malahat Tavassoli
;
Alan Stivers
;
Barry Lieberman
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
EUV;
sputter deposition;
tantalum nitride;
TaN;
tantalum oxinitride;
TaON;
67.
Laser and E-beam Mask-to-Silicon with Inverse Lithography Technology (ILT)
机译:
反向光刻技术(ILT)的激光和电子束掩模到硅
作者:
Linyong Pang
;
Nader Shamma
;
Paul Rissman
;
Dan Abrams
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
mask writing;
inverse lithography technology (ILT);
OPC;
RET;
Lithography;
CoG;
AttPSM;
68.
Implications of wafer design for manufacturing practices on photomask manufacturing
机译:
晶圆设计对光掩模制造中制造实践的影响
作者:
rew Watts
;
Jed Rankin
;
Christopher Magg
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomask manufacturing;
design for manufacturing;
69.
Improvement in defect classification efficiency by grouping disposition for reticle inspection
机译:
通过对掩模版检查进行分组处理来提高缺陷分类效率
作者:
Rick Lai
;
Luke T.H. Hsu
;
Peter Chang
;
C.H. Ho
;
Frankie Tsai
;
Garrett Long
;
Paul Yu
;
John Miller
;
Vincent Hsu
;
Ellison Chen
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
reticle inspection;
defect review;
reviewsmart;
terascan;
defect grouping;
binning efficiency;
70.
Impact of Photolithography and Mask Variability on Interconnect Parasitics
机译:
光刻和掩模可变性对互连寄生的影响
作者:
Yuxin Tian
;
Weiping Shi
;
M. Ray Mercer
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
parasitic extraction;
DFM;
lithography simulation;
mask variability;
71.
Evaluation and implementation of TeraScan reflected light die-to-database inspection mode for 65nm design node process
机译:
用于65nm设计节点工艺的TeraScan反射光裸片到数据库检查模式的评估和实现
作者:
Luke T. H. Hsu
;
C.H. Ho
;
C. C. Lin
;
Vincent Hsu
;
Ellison Chen
;
Paul Yu
;
Kong Son
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
reflected light die-to-database (dbR) inspection;
transmitted light die-to-database (dbT) inspection;
contamination inspection;
72.
Design and Fabrication of Highly Complex Topographic Nano-imprint Template for Dual Damascene Full 3-D Imprinting
机译:
双镶嵌全3D压印的高度复杂的地形纳米压印模板的设计与制作
作者:
Susan MacDonald
;
Greg Hughes
;
Michael Stewart
;
Frank Palmieri
;
C. Grant Willson
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
nano-imprint;
template;
dual damascene;
3-D structures;
SFIL;
73.
Double Exposure Technique for 45nm node and Beyond
机译:
45nm及更高节点的双重曝光技术
作者:
Stephen Hsu
;
Jungchul Park
;
Douglas Van Den Broeke
;
J. Fung Chen
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
double exposure technique;
DET;
double dipole lithography;
DDL;
double pattering;
CPL;
scattering bars;
SB;
full-sized SB;
FSB;
model based layout conversion;
double exposure lithography;
DEL;
coloring;
coloring line method (CLN);
coloring space method (;
74.
CD metrology of Binary and Phase shift masks using Scatterometry
机译:
使用散射法的二元和相移掩模的CD计量
作者:
Kyung m Lee
;
Sanjay Yedur
;
Milad Tabet
;
Malahat Tavassoli
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
scatterometry;
PSM;
CD metrology;
75.
Benchmark of FEM, Waveguide and FDTD Algorithms for Rigorous Mask Simulation
机译:
严格掩模仿真的FEM,波导和FDTD算法的基准
作者:
Sven Burger
;
Roderick Koehle
;
Lin Zschiedrich
;
Weimin Gao
;
Frank Schmidt
;
Reinhard Maerz
;
Christoph Noelscher
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
photomask simulation benchmark;
photolithography;
phase shift mask;
FEM;
FDTD;
76.
Antireflection solutions for next generation 193-nm binary and phase-shifting masks
机译:
下一代193 nm二进制和相移掩模的抗反射解决方案
作者:
Hans Becker
;
Markus Renno
;
Ulrich Hermanns
;
Holger Seitz
;
Ute Buttgereit
;
Konrad Knapp
;
Guenter Hess
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
antireflection;
AR;
flare;
193 nm;
binary;
PSM;
inspection;
77.
Approaching Zero Etch Bias at Cr Etch Process
机译:
在Cr蚀刻工艺中接近零蚀刻偏差
作者:
Pavel Nesladek
;
Norbert Falk
;
reas Wiswesser
;
Renee Koch
;
Bjoern Sass
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
Cr dry etch;
etch bias reduction;
CD Uniformity;
linearity;
loading effect;
78.
Applying Assist Features to Improve Two Dimensional Feature Process Robustness
机译:
应用辅助特征以改善二维特征过程的鲁棒性
作者:
Lawrence S. Melvin III
;
Benjamin D. Painter
;
Levi D. Barnes
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
sub-resolution assist feature;
manufacturing sensitivity model;
two-dimensional feature;
79.
Advanced manufacturing rules check (MRC) for fully-automated assessment of complex reticle designs
机译:
先进的制造规则检查(MRC)可对复杂的标线设计进行全自动评估
作者:
R.Gladhill
;
D. Aguilar
;
P.D. Buck
;
D. Dawkins
;
S. Nolke
;
J. Riddick
;
J.A. Straub
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
manufacturing rules check;
MRC;
mask data inspection;
mask data preparation;
design for manufacturing;
DFM;
80.
Advanced Reticle Inspection Challenges and Solutions for 65nm-node
机译:
65nm节点的高级光罩检查挑战和解决方案
作者:
Won D. Kim
;
Mark D. Eickhoff
;
David Kim
;
Sy McCurley
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
65nm-node;
mask inspection;
reticle inspection;
resolution enhancement technique (RET);
sub resolution assist feature (SRAF);
design for manufacturing (DFM);
81.
A Practical Alternating PSM Modeling And OPC Approach To Deal With 3D Mask Effects For The 65nm Node And Beyond
机译:
实用的交替PSM建模和OPC方法来处理65nm及以上节点的3D掩模效果
作者:
Martin Drapeau
;
Paul. J.M. van Adrichem
;
Lieve van Look
;
Bryan S. Kasprowicz
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
resolution enhancement technique;
alternating phase shifting mask;
image imbalance;
optical proximity correction;
82.
65 nm node photomask etching with zero CD process bias
机译:
零CD工艺偏置的65 nm节点光掩模蚀刻
作者:
Banqiu Wu
;
Jeff Chen
;
Ed Markovitz
;
Guangming Xiao
;
Simon Tam
;
Ajay Kumar
;
Ibrahim Ibrahim
;
Wai-Fan Yau
会议名称:
《》
|
2005年
关键词:
photomask etch;
etch bias;
65 nm etch;
zero bias;
83.
Photomask process development for next generation lithography
机译:
下一代光刻的光掩模工艺开发
作者:
Shiho Sasaki
;
Kimio Itoh
;
Akiko Fujii
;
Nobuhito Toyama
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《25th Annual BACUS Symposium on Photomask Technology pt.1》
|
2005年
关键词:
imprint;
1X masks;
higher resolution;
mold;
意见反馈
回到顶部
回到首页