掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Extreme ultraviolet (EUV) lithography VII
Extreme ultraviolet (EUV) lithography VII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
集成电路应用
邮电设计技术
电子元器件资讯
数字通信世界
混合微电子技术
真空电子技术
信息技术与标准化
信息通信技术
电子技术
电子对抗
更多>>
相关外文期刊
International Journal of Satellite Communications
New media markets
Journal of issues in informing science & information technology
What's new in electronics
Pervasive Computing, IEEE
Elektronikpraxis
Elektronika
Communications Magazine, IEEE
International journal of communication networks and distributed systems
TVB Europe
更多>>
相关中文会议
第十五届全国信号处理学术年会
2006年光学测量技术研讨会
第十四届全国半导体集成电路、硅材料学术年会
2004年全国通信防雷技术研讨会
第八届京、津、沪、渝有线电视技术研讨会暨第八届全国城市有线电视技术研讨会(JJHY·2010 NCCTV·2010)
2013电声技术国际研讨会(2013 International Symposium on ElectroAcoustic Technologies)(ISEA2013)
浙江省信号处理学会2012学术年会
北京通信学会2011无线及移动通信研讨会
第十六届全国混合集成电路学术会议
2006国际RFID技术高峰论坛
更多>>
相关外文会议
Symposium on Quantum Confined Semiconductor Nanostructures Dec 2-5, 2002 Boston, Massachusetts, U.S.A.
Radio and Wireless Symposium, 2009. RWS '09
Optical materials for high power lasers
Communicating process architectures 2011
Conference on algorithms for synthetic aperture radar imagery XVI; 20090416-17; Orlando, FL(US)
Free-space laser communication and atmospheric propagation XXVII
Conference on Photon Processing in Microelectronics and Photonics II Jan 27-30, 2003 San Jose, California, USA
Multiple access communications
Active and Passive Optical Components for WDM Communications IV
International Conference on Imaging Science, Systems, and Technology CISST'02 Vol.1, Jun 24-27, 2002, Las Vegas, Nevada, USA
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
LWR and Defectivity Improvement on an EUV Track System
机译:
EUV跟踪系统的轻水堆和缺陷改善
作者:
Masahiko Harumoto
;
Harold Stokes
;
Yan Thouroude
;
Koji Kaneyama
;
Charles Pieczulewski
;
Masaya Asai
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
extreme ultraviolet lithography (EUVL);
coat-develop track;
resist process;
CD uniformity;
LWR;
defectivity;
2.
Modeling of initial interaction between the laser pulse and Sn droplet target and pre-plasma formation for the LPP EUV source
机译:
LPP EUV源的激光脉冲与Sn液滴靶之间的初始相互作用和等离子体前形成的建模
作者:
Akira Sasaki
;
Katsunobu Nishihara
;
Atsushi Sunahara
;
Hiroyuki Furukawa
;
Takeshi Nishikawa
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV source;
laser produced plasma;
modeling;
simulation;
hydrodynamics;
3.
EUV resist outgassing analysis for the new platform resists at EIDEC
机译:
EIDEC对新平台抗蚀剂进行EUV抗蚀剂除气分析
作者:
Eishi Shiobara
;
Yukiko Kikuchi
;
Shinji Mikami
;
Takeshi Sasami
;
Takashi Kamizono
;
Shinya Minegishi
;
Takakazu Kimoto
;
Toru Fujimori
;
Takeo Watanabe
;
Tetsuo Harada
;
Hiroo Kinoshita
;
Satoshi Tanaka
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
resist;
outgas;
contamination;
metal complex;
4.
Energy Deposition and Charging in EUV Lithography: Monte Carlo Studies
机译:
EUV平版印刷术中的能量沉积和充电:蒙特卡洛研究
作者:
Liam Wisehart
;
Amrit Narasimhan
;
Steven Grzeskowiak
;
Mark Neisser
;
Leonidas E. Ocola
;
Greg Denbeaux
;
Robert L. Brainard
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
secondary electron;
EUV lithography;
Monte Carlo;
photoresist;
modeling;
5.
An Update on Pellicle-Compatible EUV Inner Pod Development
机译:
与膜片兼容的EUV内囊发育的更新
作者:
Huaping Wang
;
Russ Rashke
;
Chris Newman
;
Andrew Harris
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
lithography;
reticle;
particle;
defect;
Entegris;
pellicle;
purge;
6.
An Automated Image-Based Tool for Pupil Plane Characterization of EUVL Tools
机译:
一种基于图像的自动化工具,用于EUVL工具的学生平面表征
作者:
Zac Levinson
;
Jack S. Smith
;
Germain Fenger
;
Bruce W. Smith
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUV aberrations;
aberration metrology;
image-based aberration metrology;
pupil characterization;
7.
EUV Progress Toward HVM Readiness
机译:
EUV向HVM就绪迈进
作者:
Britt Turkot
;
Steven L. Carson
;
Anna Lio
;
Ted Liang
;
Mark Phillips
;
Brian McCool
;
Eric Stenehjem
;
Tim Crimmins
;
Guojing Zhang
;
Sam Sivakumar
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
8.
Novel ultra-high sensitive 'metal resist' for EUV lithography
机译:
用于EUV光刻的新型超高灵敏度“金属抗蚀剂”
作者:
Torn Fujimori
;
Torn Tsuchihashi
;
Shinya Minegishi
;
Takashi Kamizono
;
Toshiro Itani
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
extreme ultraviolet exposure;
'metal resist';
organic solvent development;
non-chemical amplified resist;
high-sensitivity;
9.
Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist™ (PSCAR™) with flood exposure
机译:
光敏化化学增强抗蚀剂™(PSCAR™)暴露于泛光下,以突破EUV光刻的RLS折衷挑战
作者:
Seiji Nagahara
;
Michael Carcasi
;
Hisashi Nakagawa
;
Elizabeth Buitrago
;
Oktay Yildirim
;
Gosuke Shiraishi
;
Yuichi Terashita
;
Yukie Minekawa
;
Kosuke Yoshihara
;
Masaru Tomono
;
Hironori Mizoguchi
;
Joel Estrella
;
Tomoki Nagai
;
Takehiko Naruoka
;
Satoshi Dei
;
Masafumi Hori
;
Akih
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
10.
Approach to hp10nm resolution by applying Dry Development Rinse process (DDRP) materials (DDRM)
机译:
通过采用干显影冲洗工艺(DDRP)和材料(DDRM)达到hp10nm分辨率
作者:
Wataru Shibayama
;
Shuhei Shigaki
;
Satoshi Takeda
;
Ryuji Onishi
;
Makoto Nakajima
;
Rikimaru Sakamoto
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
photo resist;
DDR;
under layer;
PTD;
Si-HM;
SOC;
etching;
11.
EUV process establishment through litho and etch for N7 node
机译:
通过光刻和蚀刻为N7节点建立EUV工艺
作者:
Yuhei Kuwahara
;
Shinichiro Kawakami
;
Minoru Kubota
;
Koichi Matsunag
;
Kathleen Nafus
;
Philippe Foubert
;
Ming Mao
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization. EUVL;
16nm half pitch;
CD uniformity;
LWR/LER;
through litho and etch;
12.
3D mask effects of absorber geometry in EUV lithography systems
机译:
EUV光刻系统中吸收体几何形状的3D掩模效果
作者:
Riaz R. Haque
;
Zac Levinson
;
Bruce W. Smith
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUV mask absorber;
3D mask effects;
mask induced aberrations;
pupil characterization;
13.
Aerial imaging study of the mask-induced line-width roughness of EUV lithography masks
机译:
极光引起的EUV光刻掩模的线宽粗糙度的航空成像研究
作者:
Antoine Wojdyla
;
Alexander Donoghue
;
Markus P. Benk
;
Patrick P. Naulleau
;
Kenneth A. Goldberg
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
LER;
LWR;
actinic inspection;
LCDU;
X-Ray Microscopy;
photon noise;
partial coherence;
power-spectral density;
speckle;
metrology;
real-space imaging;
14.
PERFORMANCE OF NEW HIGH POWER HVM LPP-EUV SOURCE
机译:
新型大功率HVM LPP-EUV源的性能
作者:
Hakaru Mizoguchi
;
Hiroaki Nakarai
;
Tamotsu Abe
;
Krzysztof M Nowak
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tsukasa Hori
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
Tsuyoshi Yamada
;
Taku Yamazaki
;
Shinji Okazaki
;
Takashi Saitou
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
15.
High-radiance LDP source: clean, reliable and stable EUV source for mask inspection
机译:
高辐射度LDP光源:清洁,可靠且稳定的EUV光源,用于掩模检查
作者:
Yusuke Teramoto
;
Barbara Santos
;
Guido Mertens
;
Ralf Kops
;
Margarete Kops
;
Alexander von Wezyk
;
Klaus Bergmann
;
Hironobu Yabuta
;
Akihisa Nagano
;
Noritaka Ashizawa
;
Yuta Taniguchi
;
Takahiro Shirai
;
Kiyotada Nakamura
;
Kazuya Aoki
;
Kunihiko Kasama
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
LDP;
source;
laser;
discharge;
tin;
mask;
inspection;
16.
Study of Sn Removal Processes for In-Situ Collector Cleaning
机译:
原位集电极清洗除锡工艺的研究
作者:
Daniel T. Elg
;
Gianluca A. Panici
;
Shailendra N. Srivastava
;
D.N. Ruzic
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
collector;
optic;
debris;
cleaning;
in-situ;
reflectivity;
17.
Enabling laboratory EUV research with a compact exposure tool
机译:
使用紧凑的曝光工具实现实验室EUV研究
作者:
Sascha Brose
;
Serhiy Danylyuk
;
Jenny Tempeler
;
Hyun-su Kim
;
Peter Loosen
;
Larissa Juschkin
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV-IL;
exposure tool;
achromatic Talbot lithography;
DPP;
MTF;
aerial image contrast;
CMTF;
characteristic curve;
18.
Free Electron Lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs
机译:
适用于13nm EUV光刻的免费电子激光器:RF设计策略,可最大程度减少投资和运营成本
作者:
Simon Keens
;
Bernhard Rossa
;
Marcel Frei
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Free Electron Laser;
Photolithography;
EUV;
LINAC;
RF strategy;
Cost Assessment;
19.
Benchmarking Study of EUV Resists for NXE:3300B
机译:
EUV抵抗NXE:3300B的基准研究
作者:
Yu-Jen Fan
;
Mac Mellish
;
Jun Sung Chun
;
Scott McWilliams
;
Cecilia Montgomery
;
Warren Montgomery
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Extreme Ultraviolet (EUV);
Photoresist;
Resist outgas;
Photoresist etching;
Resist family test;
Chemically amplified resists;
Negative tone resist;
20.
Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography
机译:
化学放大光刻胶的感光度增强和使用EUV干涉光刻的性能研究
作者:
Elizabeth Buitrago
;
Seiji Nagahara
;
Oktay Yildirim
;
Hisashi Nakagawa
;
Seiichi Tagawa
;
Marieke Meeuwissen
;
Tomoki Nagai
;
Takehiko Naruoka
;
Coen Verspaget
;
Rik Hoefnagels
;
Gijsbert Rispens
;
Gosuke Shiraishi
;
Yuichi Terashita
;
Yukie Minekawa
;
Kosuke Yoshihara
;
Akihiro Oshi
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUVL;
IL;
CAR;
photoresist;
sensitivity enhancement;
7 nm node;
5 nm node;
21.
Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography
机译:
用于EUV光刻的高分辨率分子抗蚀剂的优化和灵敏度增强
作者:
Andreas Frommhold
;
Alexandra McClelland
;
John Roth
;
Roberto A. Fallica
;
Yasin Ekinci
;
Alex P. G. Robinson
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV Lithography;
Molecular Resist;
Resist Sensitivity;
22.
EUV and optical lithographic pattern shift at the 5 nm node
机译:
EUV和光学光刻图案在5 nm节点处移动
作者:
Erik R. Hosier
;
Sathish Thiruvengadam
;
Jason R. Cantone
;
Deniz E. Civay
;
Uwe P. Schroeder
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
5 nm;
Monte Carlo;
overlay budget;
pattern-placement error;
telecentricity error;
high-NA;
thru pitch error;
23.
Image-Based Pupil Plane Characterization via Principal Component Analysis for EUVL Tools
机译:
基于主成分分析的EUVL工具基于图像的瞳孔特征
作者:
Zac Levinson
;
Andrew Burbine
;
Erik Verduijn
;
Obert Wood
;
Pawitter Mangat
;
Kenneth A. Goldberg
;
Markus P. Benk
;
Antoine Wojdyla
;
Bruce W. Smith
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUV aberrations;
aberration metrology;
image-based aberration metrology;
pupil characterization;
principal component analysis;
24.
Actinic Review of EUV Masks: Performance Data and Status of the AIMS™ EUV System
机译:
EUV掩模的光化检查:AIMS™EUV系统的性能数据和状态
作者:
Dirk Hellweg
;
Sascha Perlitz
;
Krister Magnusson
;
Renzo Capelli
;
Markus Koch
;
Matt Malloy
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Mask metrology;
AIMS™;
Aerial image review;
EUV;
scanner emulation;
defect review;
EUV optics;
25.
Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study
机译:
提高EUV光化空白检查的固有缺陷敏感性:优化的瞳孔工程和光子噪声研究
作者:
Yow-Gwo Wang
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV Actinic Mask Inspection;
Native Defect;
Zernike Phase Contrast Microscopy;
Pupil Engineering;
Optimum Phase Shift. Apodization;
Photon Shot Noise;
26.
Extreme ultraviolet patterned mask inspection performance of advanced projection electron microscope system for 11-nm half-pitch generation
机译:
先进的投射电子显微镜系统的极紫外图案掩模检查性能,可产生11 nm半间距
作者:
Ryoichi Hirano
;
Susumu Iida
;
Tsuyoshi Amano
;
Hidehiro Watanabe
;
Masahiro Hatakeyama
;
Takeshi Murakami
;
Kenichi Suematsu
;
Kenji Terao
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Mask inspection;
defect detection;
inspection optics;
projection electron microscope;
learning system;
EUVL;
27.
Advances in the Detection Capability on Actinic Blank Inspection
机译:
光化空白检测的检测能力进展
作者:
Takeshi Yamane
;
Tsuyoshi Amano
;
Noriaki Takagi
;
Hidehiro Watanabe
;
Ichiro Mori
;
Tomohisa Ino
;
Tomohiro Suzuki
;
Kiwamu Takehisa
;
Hiroki Miyai
;
Haruhiko Kusunose
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
mask blank;
phase defect;
signal intensity;
wafer impact;
actinic inspection;
illumination NA;
28.
EUV High-NA scanner and mask optimization for sub 8 nm resolution
机译:
EUV高NA扫描仪和掩模优化,分辨率低于8 nm
作者:
Jan van Schoot
;
Koen van Ingen Schenau
;
Gerardo Bottiglieri
;
Kars Troost
;
John Zimmerman
;
Sascha Migura
;
Bernhard Kneer
;
Jens Timo Neumann
;
Winfried Kaiser
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUVL;
Exposure Tools;
High-NA;
Imaging;
Overlay;
Throughput;
Magnification;
Anamorphic;
29.
High-NA EUV projection lens with central obscuration
机译:
高NA EUV投影镜头,带中央遮蔽
作者:
A.P. Zhevlakov
;
R.P. Seisyan
;
V.G. Bespalov
;
V.V Elizarov
;
A.S. Grishkanich
;
S.V. Kascheev
;
A. A. Bagdasarov
;
I. S. Sidorov
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
productivity;
wavefront aberration;
High-NA lens;
Vanguard;
EUV projection lens;
central obscuration;
coaxial schemes;
30.
Current Development Status of HSFET (High NA Small Field Exposure Tool) in EIDEC
机译:
EIDEC中HSFET(高NA小场曝光工具)的发展现状
作者:
Satoshi Tanaka
;
Shunko Magoshi
;
Hidemi Kawai
;
Soichi Inoue
;
Wylie Rosenthal
;
Luc Girard
;
Lou Marchetti
;
Bob Kestner
;
John Kincade
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
micro EUV exposure tool;
SFET;
high NA;
resolution limit;
Xe DPP EUV source;
polarization effects;
31.
Extension of practical k1 limit in EUV lithography
机译:
扩展EUV光刻中的实际k1限制
作者:
Sarohan Park
;
Inwhan Lee
;
Sunyoung Koo
;
Junghyung Lee
;
Chang-Moon Lim
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
lithography k1;
shot noise;
normalized image log slope;
dose;
combined dose and NILS dependency;
32.
Assist features: placement, impact and relevance for EUV imaging
机译:
辅助功能:EUV成像的位置,影响和相关性
作者:
Iacopo Mochi
;
Vicky Philipsen
;
Emily Gallagher
;
Eric Hendrickx
;
Kateryna Lyakhova
;
Friso Wittebrood
;
Guido Schiffelers
;
Timon Fliervoet
;
Shibing Wang
;
Stephen Hsu
;
Vince Plachecki
;
Stan Baron
;
Bart Laenens
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
lithography;
assist features;
process window;
33.
Photolithography reaches 6 nm half-pitch using EUV light
机译:
使用EUV光,光刻技术可达到6 nm半间距
作者:
Daniel Fan
;
Yasin Ekinci
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
line doubling;
spatial frequency doubling;
EUV;
interference lithography;
high resolution;
diffraction grating;
34.
Novel detection and process improvement for Organic coating-film defects
机译:
有机涂膜缺陷的新颖检测和工艺改进
作者:
Masahiko Harumoto
;
Yuji Tanaka
;
Akihiro Hisai
;
Masaya Asai
;
Hideo Ota
;
Fumiaki Endo
;
Kazuo Takahashi
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
defectivity;
defect detection;
spin coating;
coating-film;
coat-develop track system;
35.
Study of nanometer-thick graphite film for high-power EUVL pellicle
机译:
大功率EUVL防护膜的纳米石墨薄膜的研究
作者:
Mun Ja Kim
;
Hwan Chul Jeon
;
Roman Chalykh
;
Eokbong Kim
;
Jihoon Na
;
Byung-Gook Kim
;
Heebom Kim
;
Chanuk Jeon
;
Seul-Gi Kim
;
Dong-Wook Shin
;
Taesung Kim
;
Sooyoung Kim
;
Jung Hun Lee
;
Ji-Beom Yoo
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV pellicle;
graphite membrane;
EUV lithography;
graphene;
freestanding membrane;
NGF;
36.
EUV lithography imaging using novel pellicle membranes
机译:
使用新型防护膜的EUV光刻成像
作者:
Ivan Pollentier
;
Johannes Vanpaemel
;
Jae Uk Lee
;
Christoph Adelmann
;
Houman Zahedmanesh
;
Cedric Huyghebaert
;
Emily E. Gallagher
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUV mask;
pellicle;
defect mitigation;
particle adders;
37.
Study on RLS trade-off resist upgrade for production ready EUV lithography
机译:
用于准备生产的EUV光刻的RLS折衷抗蚀剂升级研究
作者:
Junghyung Lee
;
Jieun Kim
;
Seunguk Jeong
;
Mijung Lim
;
Sunyoung Koo
;
Chang-Moon Lim
;
Young-Sik Kim
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
Lithography;
Chemically amplified Resist;
RLS trade-off;
Throughput;
High sensitive resist;
38.
Stress induced pellicle analysis for extreme ultraviolet lithography
机译:
应力感应薄膜分析,用于极紫外光刻
作者:
Eun-Sang Park
;
Min-Ha Kim
;
Sollee Hwang
;
Jung Hwan Kim
;
Hye-Keun Oh
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
Pellicle;
Stress;
Cycle;
39.
Fundamental Study on Dissolution Behavior of Poly(methyl methacrylate) by Quartz Crystal Microbalance
机译:
石英晶体微天平对聚甲基丙烯酸甲酯溶解行为的基础研究
作者:
Akihiro Konda
;
Hiroki Yamamoto
;
Shusuke Yoshitake
;
Takahiro Kozawa
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Quartz Crystal Microbalance;
swelling;
dissolution behavior;
EB lithography;
EUV lithography;
Poly(methyl methacrylate);
40.
Multi-Mirror Adaptive Optics for Control of Thermally Induced Aberrations in Extreme Ultraviolet Lithography
机译:
多镜自适应光学器件,用于控制极紫外光刻中的热像差
作者:
Michel Habets
;
Joni Scholten
;
Siep Weiland
;
Wim Coene
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Thermally induced aberrations;
Mirror heating;
Aberration control;
Adaptive optics;
Deformable mirrors;
EUV Lithography;
41.
Feasibility of a new absorber material for high NA extreme ultraviolet lithography
机译:
新型吸收剂材料用于高NA极紫外光刻的可行性
作者:
Ki-Ho Ko
;
Hye-Keun Oh
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUVL;
high NA;
thin absorber;
PSM;
42.
A bottom-up pattern collapse mitigation strategy for EUV lithography
机译:
EUV光刻的自下而上的图案塌陷缓解策略
作者:
Tero S. Kulmala
;
Michaela Vockenhuber
;
Yasin Ekinci
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
pattern collapse;
resist;
development;
pattern transfer;
43.
Non-isotropic shadow effect with various pattern direction in anamorphic high numerical aperture system
机译:
变形高数值孔径系统中不同图案方向的非各向同性阴影效应
作者:
In-Seon Kim
;
Guk-Jin Kim
;
Micheal Yeung
;
Eytan Barouch
;
Hye-Keun Oh
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
Anamorphic NA;
Shadow effects;
44.
Towards a Carbon-contamination-tolerant EUV power sensor
机译:
迈向耐碳污染的EUV功率传感器
作者:
Jacqueline van Veldhoven
;
Timo Huijser
;
Evert Nieuwkoop
;
Michel van Putten
;
Norbert Koster
;
Diederik Maas
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
Xenon;
Carbon;
sensor;
EUV power sensor;
contamination;
reproducibility;
DPP EUV source;
45.
Evolution in the concentration of activities in lithography
机译:
光刻活动集中度的演变
作者:
Harry J. Levinson
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Lithography;
OPC;
RET;
EUV;
46.
Recent progress in nanoparticle photoresist development for EUV lithography
机译:
用于EUV光刻的纳米光刻胶开发的最新进展
作者:
Kazuki Kasahara
;
Vasiliki Kosma
;
Jeremy Odent
;
Hong Xu
;
Mufei Yu
;
Emmanuel P. Giannelis
;
Christopher K. Ober
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUV photoresist;
nanoparticle photoresist;
metal oxide photoresist;
inorganic photoresist;
47.
Novel metal containing resists for EUV lithography extendibility
机译:
用于EUV光刻扩展性的新型含金属抗蚀剂
作者:
Danilo De Simone
;
Safak Sayan
;
Satoshi Dei
;
Ivan Pollentier
;
Yuhei Kuwahara
;
Geert Vandenberghe
;
Kathleen Nafus
;
Motohiro Shiratani
;
Hisashi Nakagawa
;
Takehiko Naruoka
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
EUV extension;
sensitizer;
chemically amplified resist;
CAR;
metal containing resist;
MCR;
nanoparticles;
48.
Negative-tone imaging with EUV exposure toward 13 nm hp
机译:
负色成像,EUV曝光至13 nm hp
作者:
Hideaki Tsubaki
;
Wataru Nihashi
;
Toru Tsuchihashi
;
Kei Yamamoto
;
Takahiro Goto
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
negative-tone imaging (NTI);
chemically amplified resist;
dark mask application;
block mask;
EUV sensitizer;
49.
EUV lithography performance for manufacturing: status and outlook
机译:
EUV制造的光刻性能:现状和展望
作者:
Alberto Pirati
;
Rudy Peeters
;
Daniel Smith
;
Sjoerd Lok
;
Martijn van Noordenburg
;
Roderik van Es
;
Eric Verhoeven
;
Henk Meijer
;
Arthur Minnaert
;
Jan-Willem van der Horst
;
Hans Meiling
;
Joerg Mallmann
;
Cristian Wagner
;
Judon Stoeldraijer
;
Geert Fisser
;
Jo Finders
;
Carmen Zo
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
50.
Demonstration of an N7 integrated fab process for metal oxide EUV photoresist
机译:
演示用于金属氧化物EUV光刻胶的N7集成制造工艺
作者:
Danilo De Simone
;
Ming Mao
;
Michael Kocsis
;
Peter De Schepper
;
Frederic Lazzarino
;
Geert Vandenberghe
;
Jason Stowers
;
Stephen Meyers
;
Benjamin L. Clark
;
Andrew Grenville
;
Vinh Luong
;
Fumiko Yamashita
;
Doni Parnell
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV photoresist;
metal oxide photoresist;
pattemable hardmask;
inorganic negative tone resist;
N7 integration;
process simplification;
51.
Improvement of EUV mix-match overlay for production implementation
机译:
改进EUV混合匹配叠加以实现生产
作者:
Sarohan Park
;
ByoungHoon Lee
;
Byong-Seog Lee
;
Inwhan Lee
;
Chang-Moon Lim
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
Overlay;
MMO;
Overlay budget breakdown;
HOCPE;
52.
Etched-multilayer black border formed on EUV mask: Does it cause image degradation during pattern inspection using EB optics?
机译:
在EUV掩模上形成的多层蚀刻黑边框:使用EB光学元件进行图案检查时,是否会导致图像劣化?
作者:
Tsuyoshi Amano
;
Susumu Iida
;
Ryoichi Hirano
;
Tsukasa Abe
;
Yasutaka Morikawa
;
Hidehiro Watanabe
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
defect;
inspection;
electron beam;
black border;
53.
Throughput compensation through optical proximity correction for realization of an extreme-ultraviolet pellicle
机译:
通过光学邻近校正实现吞吐量补偿,以实现极紫外防护膜
作者:
Ki-Ho Ko
;
Soo-Yeon Mo
;
In-Seon Kim
;
Hye-Keun Oh
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV OPC;
EUV pellicle;
Throughput;
54.
Advancements in Predictive Plasma Formation Modeling
机译:
预测血浆形成模型的进展
作者:
Michael A. Purvis
;
Alexander Schafgans
;
Daniel J. W. Brown
;
Igor Fomenkov
;
Rob Rafac
;
Josh Brown
;
Yezheng Tao
;
Slava Rokitski
;
Mathew Abraham
;
Mike Vargas
;
Spencer Rich
;
Ted Taylor
;
David Brandt
;
Alberto Pirati
;
Aaron Fisher
;
Howard Scott
;
Alice Koniges
;
David Eder
;
Scott
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV source;
EUV lithography;
Laser Produced Plasma;
EUV power scaling;
Plasma Modeling;
55.
Energy effective dual-pulse bispectral laser for EUV lithography
机译:
用于EUV光刻的高能效双脉冲双光谱激光器
作者:
A.P. Zhevlakov
;
R.P. Seisyan
;
V.G. Bespalov
;
V.V Elizarov
;
A.S. Grishkanich
;
S.V. Kascheev
;
I. S. Sidorov
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
productivity;
power scaling;
laser produced plasma source;
multistage laser;
stimulated Raman scattering conversion;
double pulses;
consumption;
technical efficiency;
56.
Tin LPP Plasma Control in the Argon Cusp Source
机译:
氩气源中的锡LPP等离子控制
作者:
Malcolm W McGeoch
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
57.
EUV Resists: What's Next?
机译:
EUV抵制:接下来是什么?
作者:
Anna Lio
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
EUVL;
photoresist;
chemically amplified photoresist;
photon shot noise;
stochastics;
LCDU;
58.
Patterning performance of chemically amplified resist in EUV lithography
机译:
EUV光刻中化学放大抗蚀剂的图案化性能
作者:
Tatsuya Fujii
;
Shogo Matsumaru
;
Tomotaka Yamada
;
Yoshitaka Komuro
;
Daisuke Kawana
;
Katsumi Ohmori
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV Lithography;
Resolution;
Sensitivity;
Chemically amplified resist;
Proton source;
Photo acid generator;
59.
EUV extendibility via dry development rinse process
机译:
通过干显影冲洗工艺实现EUV的可扩展性
作者:
Safak Sayan
;
Zheng Tao
;
Danilo De Simone
;
Geert Vandenberghe
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Dry Development Rinse Process;
DDRP;
Dry Development Rinse Material;
DDRM;
Pattern collapse;
ultimate resolution;
line width roughness;
LWR;
exposure latitude;
60.
Dynamic absorption coefficients of CAR and non-CAR resists at EUV
机译:
EUV时CAR和非CAR抗蚀剂的动态吸收系数
作者:
Roberto Fallica
;
Jason K. Stowers
;
Andrew Grenville
;
Andreas Frommhold
;
Alex P. G. Robinson
;
Yasin Ekinci
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
absorption coefficient;
alpha;
Dill parameters;
Chemical Sensitivity;
CS;
Clearing volume;
clearing radius;
61.
Novel EUV Mask Black Border and its Impact on Wafer Imaging
机译:
新型EUV掩模黑边框及其对晶圆成像的影响
作者:
Yutaka Kodera
;
Norihito Fukugami
;
Toru Komizo
;
Genta Watanabe
;
Shin Ito
;
Itaru Yoshida
;
Shingo Maruyama
;
Jun Kotani
;
Toshio Konishi
;
Takashi Haraguchi
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV Lithography;
imaging;
photomask;
image border;
black border;
ML etching;
Out of Band light;
62.
Polarization Aberrations Induced by Graded Multilayer Coatings in EUV Lithography Scanners
机译:
EUV光刻扫描仪中渐变多层涂层引起的偏振像差
作者:
Thiago S. Jota
;
Russell A. Chipman
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
lithography;
multilayer thin film coatings;
polarization ray tracing;
polarization aberrations;
63.
Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography photomasks
机译:
改进的Ru / Si多层反射涂层,用于先进的极端紫外光刻光掩模
作者:
Obert Wood
;
Keith Wong
;
Valentin Parks
;
Patrick Kearney
;
Julia Meyer-Ilse
;
Vu Luong
;
Vicky Philipsen
;
Mohammad Faheem
;
Yifan Liang
;
Ajay Kumar
;
Esther Chen
;
Corbin Bennett
;
Bianzhu Fu
;
Michael Gribelyuk
;
Wayne Zhao
;
Pawitter Mangat
;
Paul van der Heide
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
EUV mask;
mask stack;
Ru/Si ML reflector;
B_4C interlayers;
rigorous 3D lithography simulation;
64.
EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection
机译:
EUV面罩和晶圆缺陷:完整模具缺陷检查的策略和评估
作者:
Ravi Bonam
;
Hung-Yu Tien
;
Acer Chou
;
Luciana Meli
;
Scott Halle
;
Ivy Wu
;
Xiaoxia Huang
;
Chris Lei
;
Chiyan Kuan
;
Fei Wang
;
Daniel Corliss
;
Wei Fang
;
Jack Jau
;
Zhengqing John Qi
;
Karen Badger
;
Christina Turley
;
Jed Rankin
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV Lithography;
EUV Mask;
E-beam Inspection;
Die-Die;
Die-Database;
EUV Etched Wafer;
Etch Pattern;
65.
Scanning coherent diffractive imaging methods for actinic EUV mask metrology
机译:
光化EUV掩模计量学的扫描相干衍射成像方法
作者:
Patrick Helfenstein
;
Istvan Mohacsi
;
Rajeev Rajendran
;
Yasin Ekinci
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
mask metrology;
actinic inspection;
EUV;
CDI;
lensless imaging;
66.
Through-pellicle defect inspection of EUV masks using an ArF-based inspection tool
机译:
使用基于ArF的检查工具对EUV掩模进行贯穿膜的缺陷检查
作者:
Dario L. Goldfarb
;
William Broadbent
;
Mark Wylie
;
Nelson Felix
;
Daniel Corliss
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV pellicle;
defect inspection;
metrology;
EUV mask infrastructure;
67.
Emulation of anamorphic imaging on the SHARP EUV mask microscope
机译:
SHARP EUV掩模显微镜上的变形成像仿真
作者:
Markus P. Benk
;
Antoine Wojdyla
;
Weilun Chao
;
Farhad Salmassi
;
Sharon Oh
;
Yow-Gwo Wang
;
Ryan H. Miyakawa
;
Patrick P. Naulleau
;
Kenneth A. Goldberg
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
anamorphic;
mask;
microscope;
zone plate;
High-NA;
68.
Study of Gd/Tb LPP emission near λ = 6.7nm for beyond EUV lithography
机译:
超越EUV光刻技术研究λ= 6.7nm附近的Gd / Tb LPP发射
作者:
Liang Yin
;
Hanchen Wang
;
Brendan Reagan
;
Cory Baumgarten
;
Vyacheslav Shlyaptsev
;
Eric Gullikson
;
Jorge Rocca
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
BEUV source;
BEUV lithography;
Laser produced plasma;
69.
EUV Patterning Successes and Frontiers
机译:
EUV模式化的成功与前沿
作者:
Nelson Felix
;
Dan Corliss
;
Karen Petrillo
;
Nicole Saulnier
;
Yongan Xu
;
Luciana Meli
;
Hao Tang
;
Anuja De Silva
;
Bassem Hamieh
;
Martin Burkhardt
;
Yann Mignot
;
Richard Johnson
;
Chris Robinson
;
Mary Breton
;
Indira Seshadri
;
Derren Dunn
;
Stuart Sieg
;
Eric Miller
;
Genevieve Beiq
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
lithography;
patterning;
trilayer;
photoresist;
RET;
70.
Contrast optimization for 0.33NA EUV Lithography
机译:
0.33NA EUV光刻的对比度优化
作者:
Jo Finders
;
Sander Wuister
;
Thorsten Last
;
Gijsbert Rispens
;
Eleni Psari
;
Jan Lubkoll
;
Eelco van Setten
;
Friso Wittebrood
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
Contrast optimization;
Pupil Fill ratio;
Local CDU;
71.
Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs
机译:
应用EUV分辨率增强技术(RET)来优化和扩展7nm及以上逻辑设计的单次曝光双向构图
作者:
Ryoung-Han Kim
;
Obert Wood
;
Michael Crouse
;
Yulu Chen
;
Vince Plachecki
;
Stephen Hsu
;
Keith Gronlund
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
SMO;
OPC;
RET;
72.
EUV implementation of assist features in contact patterns
机译:
EUV在联系模式中实施辅助功能
作者:
Fan Jiang
;
Ananthan Raghunathan
;
Martin Burkhardt
;
Nicole Saulnier
;
Alexander Tritchkov
;
Srividya Jayaram
;
James Word
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV lithography;
sub-resolution assist feature;
depth of focus;
best focus shift;
process variation band;
shadowing effect;
73.
EUV PATTERNED TEMPLATES WITH GRAPHO-EPITAXY DSA AT THE N5/N7 LOGIC NODES
机译:
在N5 / N7逻辑节点处带有GRAPHO-EPITAXY DSA的EUV拼版模板
作者:
Roel Gronheid
;
Carolien Boeckx
;
Jan Doise
;
Joost Bekaert
;
Ioannis Karageorgos
;
Julien Ryckaert
;
Boon Teik Chan
;
Chenxi Lin
;
Yi Zou
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
Directed Self-Assembly;
grapho-epitaxy;
templated DSA flow;
via patterning;
cylinder phase block copolymer;
74.
NXE Pellicle: offering a EUV pellicle solution to the industry
机译:
NXE Pellicle:为行业提供EUV防护膜解决方案
作者:
Derk Brouns
;
Aage Bendiksen
;
Par Broman
;
Eric Casimiri
;
Paul Colsters
;
Peter Delmastro
;
Dennis de Graaf
;
Paul Janssen
;
Mark van de Kerkhof
;
Ronald Kramer
;
Matthias Kruizinga
;
Henk Kunzel
;
Frits van der Meulen
;
David Ockwell
;
Maria Peter
;
Daniel Smith
;
Beatrijs Verbrugge
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
pellicle;
pellicle tooling;
reticle defect mitigation;
EUV mask infrastructure;
75.
Thermo-mechanical behavior of EUV pellicle under dynamic exposure conditions
机译:
动态暴露条件下EUV防护膜的热机械性能
作者:
Dario L. Goldfarb
;
Max O. Bloomfield
;
Matthew Colburn
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV mask infrastructure;
EUV pellicle;
Silicon nitride;
Dynamic exposure conditions;
Nanoscale emissivity;
Membrane wrinkling;
76.
100W EUV light source key component technology update for HVM
机译:
HVM的100W EUV光源关键组件技术更新
作者:
Tsukasa Hori
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Yutaka Shiraishi
;
Tamotsu Abe
;
Takeshi Okamoto
;
Takeshi Kodama
;
Hiroaki Nakarai
;
Taku Yamazaki
;
Shinji Okazaki
;
Takashi Saitou
;
Hakaru Mizoguchi
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV light source;
EUV lithography;
Laser Produced Plasma;
Tin;
CO_2 laser;
Droplet generator;
Collector mirror;
Debris mitigation;
magnetic field;
77.
Update on EUV radiometry at PTB
机译:
PTB的EUV辐射测定技术更新
作者:
Christian Laubis
;
Annett Barboutis
;
Christian Buchholz
;
Andreas Fischer
;
Anton Haase
;
Florian Knorr
;
Heiko Mentzel
;
Jana Puls
;
Anja Schoenstedt
;
Michael Sintschuk
;
Victor Soltwisch
;
Christian Stadelhoff
;
Frank Scholze
会议名称:
《Extreme ultraviolet (EUV) lithography VII》
|
2016年
关键词:
EUV;
at-wavelength;
radiometry;
metrology;
reflectometry;
exposure;
意见反馈
回到顶部
回到首页