掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Emerging Lithographic Technologies VIII pt.1
Emerging Lithographic Technologies VIII pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
电子科技学刊
现代传输
数字技术与应用
生物特征识别与认证
现代信息技术
无线电与电视
信息网络
现代通信技术
数字世界
光通信技术
更多>>
相关外文期刊
Journal of Communications Technology and Electronics
Wireless Communications, IEEE Transactions on
MMIS Commentator
Sound & video contractor
Radio Engineers, Journal of the British Institution of
Journal of mobile multimedia
IEEE/ACM Transactions on Networking
Mobile Radio Technology
Electrical Engineers - Part I: General, Journal of the Institution of
電子情報通信学会誌
更多>>
相关中文会议
2007中国国际工业博览会科技论坛暨镁合金表面技术研讨会
第三届中国专用通信网改革与发展论坛
中国通信学会2004年光缆电缆学术年会
第十二届全国有线电视综合信息网学术研讨会暨2004中国电视传媒与网络发展高峰会议
2006全国第十一届微波集成电路与移动通信学术年会
第十五届全国信号处理学术年会
中国西部网络信息技术及应用研讨会
第十届超导学术交流会
第九届通信设备结构与工艺学术会议
第四届中国卫星导航与位置服务年会
更多>>
相关外文会议
2019 42nd International Spring Seminar on Electronics Technology
Conference on Optical Wireless Communications V; Aug 1, 2002; Boston, Massachusetts, USA
High-Speed Fiber Networks and Channels II
Electrochemical Society(ECS) Meeting;Symposium on Industrial Electrolysis and Electrochemical Engineering General Session; 20070506-11;20070506-11; Chicago,IL(US);Chicago,IL(US)
Laser applications in microelectronic and optoelectronic manufacturing (LAMOM) XXIII
Silicon science and advanced micro-device engineering II
2010 International Workshop on Antenna Technology
Vertical-Cavity Surface-Emitting Lasers XI; Proceedings of SPIE-The International Society for Optical Engineering; vol.6484
High-Power Lasers in Energy Engineering
Display technologies and applications for defense, security, and avionics VIII; and Head- and Helmet-Mounted Displays XIX
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Resolution Enhancement Technology: The Past, the Present, and Extensions for the Future
机译:
分辨率增强技术:过去,现在和将来的扩展
作者:
Franklin M. Schellenberg
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
history of optics;
abbe;
resolution;
resolution enhancement;
RET;
polarization;
2.
High Power Short Pulse Laser Modules for Laser Produced Plasma EUV Source
机译:
大功率短脉冲激光模块,用于激光产生的等离子体EUV源
作者:
S. Ellwi
;
A. Comley
;
N. Hay
;
M. Brownell
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV source;
EUV lithography;
lasers;
cost of ownership;
laser produced plasma;
xenon;
tin;
3.
Four-inch photo-curable nanoimprint lithography using NX-2000 nanoimprintor
机译:
使用NX-2000纳米压印机的四英寸光固化纳米压印光刻
作者:
Mingtao Li
;
Hua Tan
;
Linshu Kong
;
Larry Koecher
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
photo-curable nanoimprint lithography;
P-NIL;
nanoimprintor;
nanonex;
air cushion press;
ACP;
4.
Fabrication process of molecular memory circuits by nanoimprint lithography
机译:
纳米压印光刻技术制备分子存储电路
作者:
Gun-Young Jung
;
S. Ganapathiappan
;
Xuema Li
;
Douglas A.A. Ohlberg
;
Deirdre L. Olynick
;
Yong Chen
;
Wei Wu
;
Shih-Yuan Wang
;
William M. Tong
;
R. Stanley Williams
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
nanoimprint;
molecular electronics;
nanotechnology;
5.
The New, New Limits of Optical Lithography
机译:
光学光刻的新局限
作者:
Chris A. Mack
;
KLA-Tencor
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
resolution enhancement;
resolution limits;
optical lithography;
6.
Theoretical simulation of extreme UV radiation source for lithography
机译:
光刻用极紫外辐射源的理论模拟
作者:
K.Fujima
;
K. Nishihara
;
T. Kawamura
;
H.Furukawa
;
T.Kagawa
;
F.Koike
;
R.More
;
M.Murakami
;
T. Nishikawa
;
A.Sasaki
;
A.Sunahara
;
V.Zhakhovskii
;
T.Fujimoto
;
H.Tanuma
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
7.
Status of Philips' extreme UV source
机译:
飞利浦极端紫外线源的状况
作者:
Joseph Pankert
;
Klaus Bergmann
;
Juergen Klein
;
Willi Neff
;
Oliver Rosier
;
Stefan Seiwert
;
Christopher Smith
;
Sven Probst
;
Dominik Vaudrevange
;
Guido Siemons
;
Rolf Apetz
;
Jeroen Jonkers
;
Michael Loeken
;
Guenther Derra
;
Thomas Kruecken
;
Peter Zink
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV source;
gas discharge plasma;
EUV lithography;
8.
Sub-80 nm Contact Hole Patterning Using Step and Flash Imprint Lithography
机译:
使用分步和闪光压印光刻技术进行低于80 nm的接触孔构图
作者:
David P. Mancini
;
Ngoc Le
;
Kathleen A. Gehoski
;
Steven Young
;
William J. Dauksher
;
Kevin J. Nordquist
;
Douglas J. Resnick
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
step and flash imprint lithography;
S-FIL;
contact;
selectivity;
template;
etch;
9.
Shot Noise, LER and Quantum Efficiency of EUV Photoresists
机译:
EUV光刻胶的散粒噪声,LER和量子效率
作者:
Robert L. Brainard
;
Peter Trefonas
;
Jeroen H. Lammers
;
Charlotte A. Cutler
;
Joseph F. Mackevich
;
Alexander Trefonas
;
Stewart A. Robertson
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV;
DUV;
shot noise;
quantum efficiency;
EUV-2D;
base titration;
resists;
10.
Process latitude measurements and their implications for CD control in EUV lithography
机译:
工艺纬度测量及其对EUV光刻中CD控制的影响
作者:
Jonathan Cobb
;
Richard Peters
;
Sergei Postnikov
;
Scott D. Hector
;
Bing Lu
;
Eric Weisbrod
;
James Wasson
;
Pawitter Mangat
;
Donna OConnell
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV lithography;
engineering test stand;
process latitude;
CD control;
line-end shortening;
defect printability;
11.
Low-stress and high-reflective molybdenum/silicon multilayers deposited by low-pressure rotary magnet cathode sputtering for EUV lithography
机译:
低压旋转磁体阴极溅射沉积的低应力和高反射率钼/硅多层膜,用于EUV光刻
作者:
Masayuki Shiraishi
;
Noriaki Kandaka
;
Katsuhiko Murakami
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
Mo/Si multilayer;
stress control;
sputtering;
rotary magnet cathode;
xenon gas;
extreme ultraviolet;
extreme ultraviolet lithography;
12.
Laser plasma EUVL sources - progress and challenges
机译:
激光等离子EUVL光源-进展和挑战
作者:
M. Richardson
;
C-S. Koay
;
K. Takenoshita
;
C. Keyser
;
S. George
;
S. Teerawattansook
;
M. Al-Rabban
;
H. Scott
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
13.
LEEPL Production tool: EBPrinter LEEPL-3000
机译:
LEEPL生产工具:EBPrinter LEEPL-3000
作者:
N. Samoto
;
H Takano
;
A. Endo
;
A. Yoshida
;
T. Fukui
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
14.
Modeling for sub-50 nm x-ray application with phase masks
机译:
具有相位掩模的50 nm以下X射线应用建模
作者:
James W. Taylor
;
Daniel H. Malueg
;
Franco Cerrina
;
Mumit Khan
;
Don Thielman
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
phase masks;
modeling;
phase shifting materials;
CNTech tool set;
bright peak enhanced X-ray phase mask;
simulation;
sub-50 nm;
x-ray;
response surface;
15.
Mesoscale modeling for SFIL simulating polymerization kinetics and densification
机译:
SFIL的中尺度模型,模拟聚合动力学和致密化
作者:
Ryan L. Burns
;
Stephen C. Johnson
;
Gerard M. Schmid
;
E.K. Kim
;
Michael D. Dickey
;
Jason Meiring
;
Sean D. Burns
;
Nicholas A. Stacey
;
C. Grant Willson
;
D. Convey
;
Y. Wei
;
P. Fejes
;
K. Gehoski
;
D. Mancini
;
K. Nordquist
;
W. J. Dauksher
;
D. J. Resnick
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
16.
Electron-beam and emerging lithography for the magnetic recording industry
机译:
磁记录行业的电子束和新兴光刻
作者:
A. A. G. Driskill-Smith
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
magnetic recording;
giant magnetoresistive thin-film head;
patterned media;
electron-beam lithography;
nanoimprint lithography;
17.
EUV Imaging - an aerial image study
机译:
EUV成像-航空图像研究
作者:
Martin Lowisch
;
Udo Dinger
;
Uwe Mickan
;
Tilmann Heil
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUVL;
aerial image;
process window;
mask error factor;
18.
EUV interferometric testing and alignment of the 0.3 NA MET optic
机译:
0.3 NA MET光学元件的EUV干涉测试和对准
作者:
Kenneth A. Goldberg
;
Patrick Naulleau
;
Paul Denham
;
Senajith B. Rekawa
;
Keith Jackson
;
J. Alexander Liddle
;
Erik H. Anderson
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
extreme ultraviolet interferometry;
extreme ultraviolet lithography;
EUV;
at-wavelength testing;
MET;
19.
EUV resist imaging below 50 nm using coherent spatial filtering techniques
机译:
使用相干空间滤波技术在50 nm以下进行EUV抗蚀剂成像
作者:
Michael D. Shumway
;
Eric L. Snow
;
Kenneth A. Goldberg
;
Patrick Naulleau
;
Heidi Cao
;
Manish Chandhok
;
Alexander Liddle
;
Erik Anderson
;
Jeffrey Bokor
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
extreme ultraviolet lithography;
EUV;
schwarzschild objective;
spatial frequency doubling;
aerial image contrast;
20.
EUV source power and lifetime: the most critical issues for EUV lithography
机译:
EUV源功率和寿命:EUV光刻最关键的问题
作者:
Uwe Stamm
;
Juergen Kleinschmidt
;
Kai Gaebel
;
Henry Birner
;
Imtiaz Ahmad
;
Denis Bolshukhin
;
Jesko Brudermann
;
Tran Duc Chinh
;
Frank Flohrer
;
Sven Goetze
;
Guido Hergenhan
;
Diethard Kloepfel
;
Vladimir Korobotchko
;
Bjoern Mader
;
Rainer Mueller
;
Jens Ringling
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV source;
EUV lithography;
gas discharge produced plasma;
laser produced plasma;
21.
EUVL ML Blank Fiducial Mark Generation via Local Heating
机译:
通过局部加热产生EUVL ML空白基准标记
作者:
Pei-yang Yan
;
Cameron Wagner
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
extreme ultraviolet lithography;
EUVL mask blanks EUVL multi-layers;
fiducial marks;
laser heating;
22.
Optimized Glass-ceramic Substrate Materials for EUVL Applications
机译:
针对EUVL应用的优化玻璃陶瓷基底材料
作者:
Ina Mitra
;
Jochen Alkemper
;
Rolf Mueller
;
Uwe Nolte
;
Axel Engel
;
Hrabanus Hack
;
Heiko Kohlmann
;
Volker Wittmer
;
Wolfgang Pannhorst
;
Mark J. Davis
;
Lutz Aschke
;
Konrad Knapp
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUVL;
substrate;
zerodur~(~R),;
glass-ceramics;
thermal expansion;
CTE homogeneity;
surface roughness;
23.
Nanofabrication with Water-Dissolvable Polymer Masks of Polyvinyl Alcohol (PVA): MxL
机译:
聚乙烯醇(PVA)的水溶性聚合物掩膜的纳米加工:MxL
作者:
Charles D. Schaper
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
polymer masks;
molecular transfer lithography;
imprint lithography;
soft lithography;
polyvinyl alcohol;
24.
Preliminary results of EB stepper in the application of 65 nm process
机译:
EB步进器在65 nm工艺中的应用的初步结果
作者:
H. Takenaka
;
K. Koike
;
T. Tsuchida
;
F. Koba
;
H. Sakaue
;
M. Yamabe
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EPL;
electron projection lithography;
via-hole chain;
TEG;
test element group;
OBIRCH;
25.
Compact laser-induced EUV source for metrology
机译:
紧凑的激光诱导EUV计量学光源
作者:
K. Mann
;
F. Barkusky
;
S. Doering
;
S. Kranzusch
;
A. Meyer
;
C. Peth
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
13nm radiation;
EUV;
laser-induced plasma;
gas puff target;
pinhole camera;
hartmann-shack wavefront sensor;
absorptance of EUV radiation;
26.
Combined Nanoimprint-and-Photolithography Technique with a Hybrid Mold
机译:
纳米压印和光刻技术与混合模具的结合
作者:
Xing Cheng
;
Meng-Han Chang
;
L. Jay Guo
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
nanoimprint;
hybrid mask;
nanofabrication;
nanolithography;
S-FIL;
27.
Architectural Choices for EUV Lithography Masks: Patterned Absorbers and Patterned Reflectors
机译:
EUV光刻掩模的建筑选择:图案化的吸收剂和图案化的反射器
作者:
Bruno La Fontaine
;
Adam R. Pawloski
;
Yunfei Deng
;
Christian Chovino
;
Laurent Dieu
;
Obert R. Wood II
;
Harry J. Levinson
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUVL;
mask patterning;
etched-multilayer binary mask;
absorber stack;
process window;
28.
EUV absorbance and dry-etching characteristics of TaGeN films for EUVL mask absorber
机译:
用于EUVL掩模吸收剂的TaGeN薄膜的EUV吸收和干蚀刻特性
作者:
Yuusuke Tanaka
;
Dongwan Kim
;
Hiromasa Yamanashi
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV;
mask;
TaGeN;
Cr;
absorbance;
absorption coefficient;
contrast;
reflectivity;
etching;
selectivity;
29.
Debris-free low-cost commercial EUV source for at-wavelength metrology
机译:
用于波长计量的无碎片低成本商用EUV光源
作者:
A. Egbert
;
B. Tkachenko
;
S. Becker
;
B.N. Chichkov
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
extreme ultraviolet source;
EUV lithography;
EUV metrology;
30.
Nanostructuring of polymers by Hot Embossing Lithography
机译:
热压印光刻法对聚合物进行纳米结构
作者:
H.-C. Scheer
;
T. Glinsner
;
M. Wissen
;
R. Pelzer
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
nanoimprinting;
hot embossing lithography;
de-embossing;
large area imprinting;
31.
Origins of debris and mitigation through a secondary RF plasma system for discharge-produced EUV sources
机译:
通过次级RF等离子系统产生的杂物的产生和缓解,用于产生放电的EUV源
作者:
E Vargas Lopez
;
B E Jurczyk
;
M A Jaworski
;
M J Neumann
;
D N Ruzic
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
32.
Performance and stability of electron projection lithography tool
机译:
电子投影光刻工具的性能和稳定性
作者:
H. Sakaue
;
K. Koike
;
H. Takenaka
;
T. Tsuchida
;
F. Koba
;
M. Yamabe
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EPL;
lithography;
electron beam;
overlay;
accuracy;
mix-and-match;
distortion;
33.
Particle-cluster tin target for a high conversion efficiency LPP source for EUVL
机译:
用于EUVL的高转换效率LPP源的颗粒簇锡靶
作者:
Toshihisa TOME
;
T. Aota
;
J.Q.Lin
;
Y.Ueno
;
H.Yashiro
;
N.Kandaka
;
H.Moriwaki
;
G.Niimi
;
I.Matsushima
;
K.Nishigori
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
tin target;
laser-plasma;
particle-cluster;
conversion efficiency;
delivery of target;
multi kHz;
34.
Performance of a 10 kHz Laser-Produced-Plasma Light Source for EUV Lithography
机译:
用于EUV光刻的10 kHz激光产生等离子体光源的性能
作者:
Tamotsu Abe
;
Takashi Suganuma
;
Yousuke Imai
;
Hiroshi Someya
;
Hideo Hoshino
;
Masaki Nakano
;
Georg Soumagne
;
Hiroshi Komori
;
Yuichi Takabayashi
;
Hakaru Mizoguchi
;
Akira Endo
;
Koichi Toyoda
;
Yasuhiro Horiike
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV lithography;
laser produced plasma;
xenon jet;
MOPA system;
deformable mirror;
35.
Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging
机译:
使用LPP光源和暗场成像光化学检测EUV掩模坯上的多层缺陷
作者:
Yoshihiro Tezuka
;
Masaaki Ito
;
Tsuneo Terasawa
;
Toshihisa Tomie
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV;
mask blanks;
actinic inspection;
phase defect;
LPP;
surface roughness;
multilayer;
36.
Development of Phase Shift Masks for Extreme Ultra Violet Lithography and Optical Evaluation of Phase Shift Materials
机译:
用于极紫外光刻的相移掩模的开发和相移材料的光学评估
作者:
Sang-In Han
;
Eric Weisbrod
;
James R Wasson
;
Rich Gregory
;
Qianghua Xie
;
Pawitter. J. S. Mangat
;
Scott D. Hector
;
William J. Dauksher
;
Kristine M. Rosfjord
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
extreme ultraviolet lithography;
masks;
phase shift masks;
optical constants, atomic scattering factor;
37.
Design rule of hole-layer for electron projection lithography
机译:
电子投影光刻的孔层设计原则
作者:
K. Koike
;
H. Sakaue
;
H. Takenaka
;
F. Koba
;
T. Tsuchida
;
M. Yamabe
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
electron projection lithography;
design rule;
stencil mask;
beam blur;
image placement;
38.
Development of magnetohydrodynamic computer modeling of gasdischarge EUV sources for microlithography
机译:
开发用于微光刻的气体放电EUV源的磁流体力学计算机模型
作者:
Bruno S. Bauer
;
Roberto C. Mancini
;
Volodymyr Makhin
;
Ioana Paraschiv
;
Audrey Esaulov
;
Radu Presura
;
Irvin R. Lindemuth
;
Peter T. Sheehey
;
Bryan J. Rice
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
MHD simulation;
EUV radiative source;
atomic kinetics and radiation model;
dense plasma focus;
39.
Development of Imprint Materials for the Step and Flash Imprint Lithography Process
机译:
步进和闪光压印光刻工艺的压印材料的开发
作者:
Frank Xu
;
Nick Stacey
;
Mike Watts
;
Van Truskett
;
Ian McMackin
;
Jin Choi
;
Philip Schumaker
;
Ecron Thompson
;
Dan Babbs
;
S.V. Sreenivasan
;
Grant Willson
;
Norman Schumaker
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
40.
Determination of the flare specification and methods to meet the CD control requirements for the 32 nm node using EUVL
机译:
确定使用EUVL的眩光规格和方法,以满足32 nm节点的CD控制要求
作者:
Manish Chandhok
;
Sang H. Lee
;
Christof Krautschik
;
Bryan J. Rice
;
Eric Panning
;
Michael Goldstein
;
Melissa Shell
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV;
flare;
FVC;
flare variation compensation;
negative tone;
scattering bars;
dummification;
tiling;
41.
Current Status of Nanonex Nanoimprint Solutions
机译:
Nanonex纳米压印解决方案的现状
作者:
Hua Tan
;
Linshu Kong
;
Mingtao Li
;
Colby Steere
;
Larry Koecher
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
lithography;
NGL;
NIL;
T-NIL;
P-NIL;
air cushion press;
nanonex;
nanofabrication;
42.
Experimental investigation of materials damage induced by hot Xe plasma in EUV lithography devices
机译:
EUV光刻设备中热Xe等离子体引起的材料损伤的实验研究
作者:
Ahmed Hassanein
;
Tatiana Burtseva
;
Jean P. Allain
;
Bryan J. Rice
;
V. Bakshi
;
V. Safronov
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
43.
Effect of absorber material and mask pattern correction on pattern fidelity in EUV lithography
机译:
吸收材料和掩模图案校正对EUV光刻中图案保真度的影响
作者:
Minoru Sugawara
;
Takeo Hashimoto
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
printability;
pattern fidelity;
mask pattern correction;
off-axis incident light;
44.
In the End It's the Bottom Line That Counts
机译:
最后,最重要的是底线
作者:
Karen H Brown
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
45.
Total performance of Nikon EB stepper RD tool
机译:
尼康EB步进式研发工具的整体性能
作者:
Tomoharu Fujiwara
;
Noriyuki Hirayanagi
;
Jin Udagawa
;
Junji Ikeda
;
Sumito Shimizu
;
Hidekazu Takekoshi
;
Kazuaki Suzuki
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EPL;
electron projection lithography;
electron beam;
subfield stitching;
contact hole;
46.
STEP AND REPEAT UV NANOIMPRINT LITHOGRAPHY TOOLS AND PROCESSES
机译:
步骤和重复UV纳米印术制版工具和过程
作者:
Ian McMackin
;
Jin Choi
;
Philip Schumaker
;
Van Nguyen
;
Frank Xu
;
Ecron Thompson
;
Daniel Babbs
;
S.V. Sreenivasan
;
Michael Watts
;
Norman Schumaker
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
47.
Resist formulation effects on contrast and top-loss as measured by 3D-SEM metrology
机译:
通过3D-SEM计量学测量得出的抗蚀剂配方对对比度和最高损耗的影响
作者:
Andrew Eckert
;
Carl Setter
;
Robert Brainard
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
E-beam lithography;
proximity effect;
data storage;
thin film heads;
nanofabrication;
3D-SEM imaging;
48.
Simulation and Optimization of DPP Hydrodynamics and Radiation Transport for EUV Lithography Devices
机译:
EUV光刻设备的DPP流体动力学和辐射传输的仿真和优化
作者:
A. Hassanein
;
V. Sizyuk
;
V. Tolkach
;
V. Morozov
;
T. Sizyuk
;
B. Rice
;
V. Bakshi
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
discharge-produced plasma;
HEIGHTS-EUV;
MHD;
radiation transport;
numerical simulation;
49.
Progress in the ASML EUV program
机译:
ASML EUV计划的进展
作者:
Hans Meiling
;
Vadim Banine
;
Peter Kuerz
;
Noreen Harned
会议名称:
《》
|
2004年
50.
Production of Low Thermal Expansion EUVL Mask Blanks with Low Defect Multilayer, Buffer and Absorber
机译:
具有低缺陷多层,缓冲剂和吸收剂的低热膨胀性EUVL掩模坯料的生产
作者:
Frank Sobel
;
Lutz Aschke
;
Frauke Rueggeberg
;
Holger Seitz
;
Nathalie Olschewski
;
Torsten Reichhardt
;
Hans Becker
;
Markus Renno
;
Steffen Kirchner
;
Thomas Leutbecher
;
Guenter Hess
;
Konrad Knapp
会议名称:
《》
|
2004年
关键词:
EUVL;
LTEM;
mask blank;
backside coating;
multilayer stack;
absorber stack;
51.
Radiation-induced synergistic effects of athermal and thermal mechanisms on erosion and surface evolution of advanced electrode and condenser optics materials
机译:
辐射诱导的非热和热机理对高级电极和聚光光学材料的腐蚀和表面演变的协同效应
作者:
Jean P. Allain
;
Ahmed Hassanein
;
Tatiana Burtseva
;
Abdelatif Yacout
;
Zinetulla Insepov
;
Sarfraz Taj
;
Bryan J. Rice
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
diffusion;
segregation;
preferential sputtering;
amorphization;
condenser optics;
collisional mixing;
52.
Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography
机译:
用于EUV光刻的密集等离子聚焦光源的性能和缩放
作者:
Igor V. Fomenkov
;
Richard M. Ness
;
Ian R. Oliver
;
Stephan T. Melnychuk
;
Oleh V. Khodykin
;
Norbert R. Boewering
;
Curtis L. Rettig
;
Jerzy R. Hoffman
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
EUV lithography;
EUV light source;
dense plasma focus;
discharge produced plasma light source;
xenon emission;
Sn emission;
negative polarity dense plasma focus;
53.
Predicting Image Placement Accuracy of X-ray Masks
机译:
预测X射线光罩的图像放置精度
作者:
Gerald A. Dicks
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Brent E. Boerger
;
Daniel J. Fleming
;
Karen H. Brown
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
X-ray lithography;
mask membrane distortions;
fabrication;
pattern transfer;
mounting;
finite element analysis;
54.
Microsystems Manufacturing via Embossing of Photodefinable Thermally Sacrificial Materials
机译:
通过可光定义的热牺牲材料的压印进行微系统制造
作者:
Celesta E. White
;
Travis Anderson
;
Clifford L. Henderson
;
Harry D. Rowland
;
William P. King
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
embossing;
imprint lithography;
sacrificial polymer;
polynorbornene;
MEMS;
microfluidic system;
55.
EPL Data Conversion System
机译:
EPL数据转换系统
作者:
Masahiro Shoji
;
Nobuyasu Horiuchi
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
NGL;
EPL;
EB stepper;
data conversion;
56.
Evaporated resist for the fabrication and replication of LEEPL mask
机译:
蒸发抗蚀剂,用于LEEPL掩模的制造和复制
作者:
M. Cloutier
;
Y. Awad
;
E. Lavallee
;
D. Turcotte
;
J. Beauvais
;
D. Drouin
;
L. Kien Mun
;
P. Yang
;
P. Lafrance
;
R. Legario
;
A. Yoshida
;
H. Nozue
会议名称:
《Emerging Lithographic Technologies VIII pt.1》
|
2004年
关键词:
flip patterning;
EPL mask;
dry resist;
pulse reversal plating;
IPD;
意见反馈
回到顶部
回到首页