掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Advances in Resist Technology and Processing XXIII pt.1
Advances in Resist Technology and Processing XXIII pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通信与信息技术
音响技术
电子电路与贴装
电子与金系列工程信息
世界专业音响与灯光
高保真音响
数字技术与应用
北京电子
雷达学报
数码世界
更多>>
相关外文期刊
Connected Guide to the Digital Home
Telecommunications Americas
Electronic Engineering Times
International Journal of ICT Research and Development in Africa
Ericsson review
Semiconductor Times
Wireless Sensor Systems, IET
Microelectronics & Reliability
O Plus E
International journal of satellite communications policy and management
更多>>
相关中文会议
中国全球定位系统技术应用协会2011年年会暨“北斗”产业化和战略新兴产业发展论坛
中国电源学会第18届全国电源技术年会
第16届国际广播电视技术讨论会(ISBT 2011)
教育部中南地区高等学校电子电气基础课教学研究会第二十三届学术年会
第四届全国消费电子技术交流会
2009信息通信网技术业务发展研讨会
武汉市第二届学术年会通信学会2006年学术年会
辽宁省通信学会2005年年会
第十一届全国青年通信学术会议
首都信息网络发展学术研讨会
更多>>
相关外文会议
ICASSP 90
Trust management IV
Technology evolution for silicon nano-electronics
Conference on OptiComm 2000: Optical Networking and Communications 22-26 October 2000 Richardson, Texas, USA
2002 6th International Conference on Signal Processing Proceedings (ICSP'02) Vol.2; Aug 26-30, 2002; Beijing, China
Scanning microscopies 2012: advanced microscopy technologies for defense, homeland security, forensic, life, environmental, and industrial sciences.
2014 7th ESA Workshop on Satellite Navigation Technologies and European Workshop on GNSS Signals and Signal Processing
Proceedings of the 11th International Symposium on Antennas, Propagation and EM Theory
Micro- and Nanoelectronics 2007
Materials for sustainable development-challenges and opportunities
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Studies on Immersion Defects using Mimic Immersion Experiments
机译:
使用模拟浸入实验研究浸入缺陷
作者:
Tetsuro Hanawa
;
Toshifumi Suganaga
;
Takeo Ishibashi
;
Shinroku Maejima
;
Koichiro Narimatsu
;
Kazuyuki Suko
;
Mamoru Terai
;
Teruhiko Kumada
;
Junichi Kitano
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
ArF immersion lithography;
top coat;
detectivity;
post exposure rinse process;
2.
Studies on a cross-linking type positive 193nm photoresist material
机译:
交联型正193nm光刻胶材料的研究
作者:
Liyuan Wang
;
Xin Guo
;
Zhanxing Chu
;
Wenjun Wang
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
positive photoresist;
divinyl ether;
acrylpimaric acid;
PAG;
193nm;
3.
Self Assembly in Semiconductor Microelectronics: Self-Aligned Sub-Lithographic Patterning Using Diblock Copolymer Thin Films
机译:
半导体微电子中的自组装:使用双嵌段共聚物薄膜的自对准亚光刻图案
作者:
C. T. Black
;
R. Ruiz
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
4.
Polymer Structure Modifications for Immersion Leaching and Watermark Control
机译:
用于浸入浸出和水印控制的聚合物结构改性
作者:
Jae Woo Lee
;
Seung Keun Oh
;
Jung Woo Kim
;
Sang Hyang Lee
;
Young Ho Jeong
;
Sang Soo Kim
;
Myoung Hwan Park
;
Deogbae Kim
;
Jaehyun Kim
;
Geunsu Lee
;
Seung-Chan Moon
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193nm resist;
immersion;
leaching;
defectivity;
hydrophilicity;
Tg;
contact angle;
5.
Progress of Topcoat and Resist Development for 193nm Immersion Lithography
机译:
193nm浸没式光刻的面漆进展及抗蚀剂的发展
作者:
Katsumi Ohmori
;
Tomoyuki o
;
Toshikazu Takayama
;
Keita Ishizuka
;
Masaki Yoshida
;
Yoshiyuki Utsumi
;
Kotaro Endo
;
Takeshi Iwai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193nm immersion lithography;
topcoat;
immersion defect;
immersion dedicated resist;
6.
Performance of a Dry 193nm Resist under Wet Conditions
机译:
潮湿条件下干193nm抗蚀剂的性能
作者:
Munirathna Padmanaban
;
rew Romano
;
Guanyang Lin
;
Simon Chiu
;
Allen Timko
;
Frank Houlihan
;
Dalil Rahman
;
S. Chakrapani
;
T. Kudo
;
Ralph R. Dammel
;
Karen Turnquest
;
Georgia Rich
;
Scott D. Schuetter
;
Timothy A. Shedd
;
Gregory F. Nellis
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
T83645;
receding angle;
dry and immersion lithographic performance;
7.
Overcoming Pattern Collapse on e-beam and EUV lithography
机译:
克服电子束和EUV光刻上的图案塌陷
作者:
A. Jouve
;
J. Simon
;
A. Pikon
;
H. Solak
;
C. Vannuffel
;
J-H Tortai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
pattern collapse;
chemically amplified resist;
adhesion;
elastic;
elastoplastic;
electron beam lithography;
EUV lithography;
8.
Synthesis and Evaluation of Novel Resist Monomers and Copolymers for ArF Lithography
机译:
用于ArF光刻的新型抗蚀剂单体和共聚物的合成与评价
作者:
Masahiko Kitayama
;
Ichihiro Aratani
;
Minoru Toriumi
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
polar monomer;
mevalonic lactone methacrylate (MLMA);
quartz crystal microbalance (QCM);
dissolution behavior;
dissociation energy;
9.
Vinyl ether resist system for UV-cured nanoimprint lithography
机译:
用于紫外线固化纳米压印光刻的乙烯基醚抗蚀剂系统
作者:
Hiroshi Ito
;
Frances A Houle
;
Mark W. Hart
;
Rick A. DiPietro
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
step-and-flash nanoimprint lithography;
vinyl ether;
photochemical acid generator;
sensitizer;
stabilizer;
photo DSC;
cationic polymerization;
crosslinking;
curing;
resist;
10.
The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists
机译:
193 nm基于甲基丙烯酸酯的化学放大光致抗蚀剂中的脱保护反应前轮廓
作者:
Bryan D. Vogt
;
Shuhui Kang
;
Vivek M. Prabhu
;
Ashwin Rao
;
Eric K. Lin
;
Sushil K. Satija
;
Karen Turnquest
;
Wen-li Wu
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
photolithography;
chemically amplified photoresists;
diffusion;
neutron reflectivity;
line edge roughness;
11.
Resist process window characterization for the 45-nm node using an interferometric immersion microstepper
机译:
使用干涉式浸入式微步进器对45 nm节点进行制程窗口表征
作者:
Anatoly Bourov
;
Stewart A. Robertson
;
Bruce W. Smith
;
Michael A. Slocum
;
Emil C. Piscani
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
interference;
immersion;
lithography;
process window;
bossung;
photoresist;
12.
The effect of water-contact and evaporation on the roughness of photoresist for immersion lithography
机译:
水接触和蒸发对浸没光刻胶光刻胶粗糙度的影响
作者:
Sung Il Ahn
;
Jae Hyun Kim
;
Wang-Cheol Zin
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
13.
The Effect of Photoresist/Topcoat Properties on Defect Formation in Immersion Lithography
机译:
光刻胶/面漆性能对浸没光刻中缺陷形成的影响
作者:
G M.Wallraff
;
C. E. Larson
;
G. Breyta
;
L. Sundberg
;
D. Miller
;
D. Gil
;
K. Petrillo
;
W. Pierson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
chemically amplified resist;
water spots;
defects;
14.
The Effects of Etch Chemistry on the Etch Rates of ArF BARC Products
机译:
蚀刻化学对ArF BARC产品蚀刻速率的影响
作者:
Hong Zhuang
;
Dave Abdallah
;
Zhong Xiang
;
Hengpeng Wu
;
Jianhui Shan
;
Ping-Hung Lu
;
Mark Neisser
;
Eugene J. Karwacki
;
Bing Ji
;
Peter R. Badowski
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
reactive ion etch;
bottom anti-reflective coating;
etch chemistry;
etch rate;
15.
Two-layer anti-reflection strategies for implant applications
机译:
植入物应用的两层防反射策略
作者:
Douglas J. Guerrero
;
Tamara Smith
;
Masakazu Kato
;
Shigeo Kimura
;
Tomoyuki Enomoto
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
BARC;
two-layer;
wet developable;
implant;
16.
Trap Mechanism of Micro Bubble in Micro Concave Patterns
机译:
微凹图案中微气泡的捕集机理
作者:
Akira Kawai
;
Tomotaka Ariga
;
Shimpei Hori
;
Masahiko Harumoto
;
Osamu Tamada
;
Masakazu Sanada
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
17.
OPC of Resist Reflow Process
机译:
阻焊工艺的OPC
作者:
Sang-Kon Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
lithography;
lithography simulation;
thermal resist flow;
chemically-amplified resist;
18.
Application of High Refractive Index Fluid to KrF-Immersion Lithography
机译:
高折射率流体在KrF浸没式光刻中的应用
作者:
Yuji Yada
;
Koji Ito
;
Yoshikazu Yamaguchi
;
Taiichi Furukawa
;
Takashi Miyamatsu
;
Yong Wang
;
Katsuhiko Hieda
;
Tsutomu Shimokawa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
KrF immersion lithography;
high refractive index immersion fluid;
photoresist;
optical characteristic;
leaching;
lithographic performance;
19.
All-organic Non-PFOS Nonionic Photoacid Generating Compounds with Functionalized Fluoroorganic Sulfonate Motif for Chemically Amplified Resists
机译:
具有功能性氟有机磺酸酯基团的全有机非全氟辛烷磺酸非离子型光致产酸化合物,用于化学放大的抗蚀剂。
作者:
Ramakrishnan Ayothi
;
Yi Yi
;
Christopher K Ober
;
Steve Putna
;
Wang Yueh
;
Heidi Cao
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
nonionic photoacid generators;
non-PFOS;
sensitivity;
resolution;
LER;
deep UV and e-beam lithography;
20.
Amplification of the index of refraction of aqueous immersion fluids with crown ethers: a progress report
机译:
用冠醚放大水浸液的折射率:进展报告
作者:
Juan Lopez-Gejo
;
Joy T. Kunjappu
;
Nicholas J. Turro
;
Will Conley
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
second generation immersion fluids;
193 nm immersion microlithography;
refractive index;
crown ethers;
21.
Adamantane Based Molecular Glass Resist for 193 nm Lithography
机译:
基于金刚烷的193 nm光刻胶的分子玻璃抗蚀剂
作者:
Shinji Tanaka
;
Christopher K Ober
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
glass resist;
amorphous;
adamantane;
cholic acid;
LWR;
LER;
etch resistance;
193 nm;
lithography;
22.
Adhesion and Removal of Micro Bubbles for Immersion Lithography
机译:
浸没式光刻中微气泡的附着和去除
作者:
Akira Kawai
;
Takayoshi Niiyama
;
Hotaka Endo
;
Masaki Yamanaka
;
Atsushi Ishikawa
;
Kenta Suzuki
;
Osamu Tamada
;
Masakazu Sanada
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
bubble;
micro defect;
pinning effect;
atomic force microscope;
surface energy;
spreading energy;
buoyancy;
line tension;
23.
Materials and Process Parameters on ArF Immersion Defectivity Study
机译:
ArF浸没缺陷研究的材料和工艺参数
作者:
Shinichi Kanna
;
Haruki Inabe
;
Kei Yamamoto
;
Toshiaki Fukuhara
;
Shinji Tarutani
;
Hiromi Ka
;
Wada Kenji
;
Kunihiko Kodama
;
Koji Shitabatake
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
watermark;
defectivity;
non-topcoat;
immersion lithography;
24.
Low leaching and low LWR photoresist development for 193 nm immersion lithography
机译:
低浸出和低LWR光致抗蚀剂显影,用于193 nm浸没式光刻
作者:
Nobuo o
;
Youngjoon Lee
;
Takayuki Miyagawa
;
Kunishige Edamatsu
;
Ichiki Takemoto
;
Satoshi Yamamoto
;
Yoshinobu Tsuchida
;
KeikoYamamoto
;
Shinji Konishi
;
Katsushi Nakano
;
Fujiwara Tomoharu
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion;
LWR;
leaching;
PAG;
diffusion;
receding contact angle;
25.
Effects of material design on extreme ultraviolet (EUV) resist outgassing
机译:
材料设计对极紫外光(EUV)脱气的影响
作者:
Kim R. Dean
;
Kenneth E. Gonsalves
;
Muthiah Thiyagarajan
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
EUV;
resist;
outgassing;
26.
Effect of Top Coat and Resist Thickness on Line Edge Roughness
机译:
顶涂层和抗蚀剂厚度对线边缘粗糙度的影响
作者:
Lovejeet Singh
;
Itty Matthew
;
Adam Pawloski
;
Anna Minvielle
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
LER;
top coat;
resist thickness;
substrate interaction;
27.
Double exposure technology using silicon containing materials
机译:
使用含硅材料的双重曝光技术
作者:
Sungkoo Lee
;
Jaechang Jung
;
Sungyoon Cho
;
Chang-Moon Lim
;
Cheolkyu Bok
;
Hyeongsoo Kim
;
Seungchan Moon
;
Jinwoong Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
double exposure technology;
ArF;
Silicon containing BARC;
28.
Evaluating resist degradation during reactive ion oxide etching using 193 nm model resist formulations
机译:
使用193 nm模型抗蚀剂配方评估反应性离子氧化物蚀刻过程中的抗蚀剂降解
作者:
M. J. May
;
B. Mortini
;
C. Sourd
;
D. Perret
;
D. W. Chung
;
G. Barclay
;
C. Brochon
;
G. Hadziioannou
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193 nm and 248 nm resists;
chemical amplification;
etch resistance;
oxide etch;
TGA;
FTIR;
AFM;
29.
Diffusion Mechanism of Water for Immersion Lithography
机译:
浸没式光刻术中水的扩散机理
作者:
Minoru Toriumi
;
Chie Matsubara
;
Akihiko Otoguro
;
Toshiro Itani
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
photoresist;
topcoat;
kinetics;
diffusion;
leaching;
QCM;
30.
Characteristics of low E_a 193-nm chemical amplification resists
机译:
低E_a 193 nm化学放大抗蚀剂的特性
作者:
Toshiyuki Ogata
;
Yohei Kinoshita
;
Sanae Furuya
;
Shogo Matsumaru
;
Motoki Takahashi
;
Daiju Shiono
;
Takahiro Dazai
;
Hideo Hada
;
Masamitsu Shirai
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
chemical amplification positive-tone resist;
methyl acetal ester;
plasma stability;
activation energy;
low E_a;
31.
Analysis of 193-nm immersion specific defects
机译:
193nm浸没特定缺陷的分析
作者:
Akihiko Otoguro
;
Jeung-Woo Lee
;
Toshiro Itani
;
Kiyoshi Fujii
;
Tomohiro Funakoshi
;
Tsunehiro Sakai
;
Kenji Watanabe
;
Mikio Arakawa
;
Hitoshi Nakano
;
Masamichi Kobayashi
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193-nm immersion lithography;
immersion specific defect;
top-coat;
quartz crystal microbalance;
swelling;
32.
Improvement of Watermark Defect in Immersion Lithography: Mechanism of Watermark Defect Formation and its Reduction by Using Alkaline Soluble Immersion Topcoat
机译:
浸没式光刻中水印缺陷的改善:使用碱性可溶浸没面漆的水印缺陷形成机理及其减少
作者:
Hiroki Nakagawa
;
Atsushi Nakamura
;
Hiroshi Dougauchi
;
Motoyuki Shima
;
Shiro Kusumoto
;
Tsutomu Shimokawa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion;
alkaline soluble;
topcoat;
resist;
receding contact angle;
defect;
water mark;
33.
Immersion Topcoat and Resist Material Improvement Study By Using Immersion Scanner
机译:
使用浸入式扫描仪进行浸入面漆和抗蚀材料改进研究
作者:
Hiroki Nakagawa
;
Kenji Hoshiko
;
Motoyuki Shima
;
Shiro Kusumoto
;
Tsutomu Shimokawa
;
Katsushi Nakano
;
Tomoharu Fujiwara
;
Soichi Owa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion;
scanner;
topcoat;
resist;
leaching;
receding contact angle;
scanning property;
defect;
34.
Non-ionic Photoacid Generators for Chemically Amplified Photoresists: Structure Effect on Resist Performance
机译:
用于化学增幅光刻胶的非离子型光致产酸剂:结构对光刻胶性能的影响
作者:
Hitoshi Yamato
;
Toshikage Asakura
;
Masaki Ohwa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
non-ionic;
photoacid generator;
ArF;
strong acid;
chemically amplified resist;
35.
Nanolithography in thermally sacrificial polymers using nanoscale thermal probes
机译:
使用纳米级热探针在热牺牲聚合物中进行纳米光刻
作者:
Yueming Hua
;
Shubham Saxena
;
William P. King
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
nanolithography;
sacrificial polymer;
polycarbonate;
AFM;
heated;
cantilever;
36.
Difference between Initial Distributions of Proton and Counter Anion in Chemically Amplified Electron-Beam Resist
机译:
化学增强的电子束抗蚀剂中质子和抗衡阴离子的初始分布之间的差异
作者:
Takahiro Kozawa
;
Hiroki Yamamoto
;
Akinori Saeki
;
Seiichi Tagawa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
anion distribution;
proton distribution;
line edge roughness;
electron beam lithography;
37.
Development of EUV resists in supercritical CO_2 solutions using CO_2 compatible salts (CCS)
机译:
使用CO_2相容盐(CCS)在超临界CO_2溶液中开发EUV抗蚀剂
作者:
Mark Wagner
;
James DeYoung
;
Chris Harbinson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
EUV;
photoresist;
lithography;
supercritical;
CO_2;
CCS;
pattern collapse;
aspect ratio;
LWR;
reverse image;
development;
38.
Development of new resist materials for 193-nm dry and immersion lithography
机译:
开发用于193 nm干法和浸没式光刻的新型抗蚀剂材料
作者:
Takashi Sasaki
;
Naoko Shirota
;
Yoko Takebe
;
Osamu Yokokoji
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
fluoropolymer;
FUGU;
transparency;
dissolution rate;
Co-polymerization;
39.
Defect Studies of Resist Process for 193nm Immersion Lithography
机译:
193nm浸没式光刻胶抗蚀工艺的缺陷研究
作者:
Tomoyuki o
;
Katsumi Ohmori
;
Satoshi Maemori
;
Toshikazu Takayama
;
Keita Ishizuka
;
Masaaki Yoshida
;
Tomoyuki Hirano
;
Jiro Yokoya
;
Katsushi Nakano
;
Tomoharu Fujiwara
;
Soichi Owa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193nm immersion lithography;
full field immersion exposure;
immersion defect;
topcoat;
193nm resist;
stage scanning speed capability;
engineering evaluation tool;
EET;
40.
Defect marginality screen for resists patterned in random bright field layout
机译:
缺陷边缘屏幕,用于在随机明场布局中构图的抗蚀剂
作者:
David Fryer
;
Vivek Singh
;
rew Muray
;
Sushil Dhoot
;
Sam Sivakumar
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
41.
Novel low-dielectric constant photodefinable polyimides for low- temperature polymer processing
机译:
用于低温聚合物加工的新型低介电常数光可定义聚酰亚胺
作者:
Kazuhiro Yamanaka
;
Michael Romeo
;
Kazuhiko Maeda
;
Clifford L. Henderson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
photosensitive;
polyimide;
low temperature;
low dielectric constant;
hexafluoroisopropanol;
42.
Novel Depolymerizable Topcoat for Immersion Lithography
机译:
用于浸没式光刻的新型可解聚面漆
作者:
Peng Zhang
;
Scott J. Weigel
;
Tom Braymer
;
Tom Markley
;
Brenda Ross
;
Manuel Jaramillo
;
Jr.
;
Shawn Cassel
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
topcoat;
developer;
watermark defects;
hydrophobicity;
43.
Reactivity of Model Compounds of ArF Immersion, ArF, and KrF Resists with Diphenylsulfinyl Radical Cation, a Cage-Escape Product of Photochemistry of Triphenylsulfonium Salts
机译:
ArF浸入,ArF和KrF的模型化合物与二苯基亚磺酰基自由基阳离子(三苯基s盐的光化学脱笼产物)的反应性
作者:
Yoshinori Matsui
;
Hidekazu Sugawara
;
Shou Tsuji
;
Toshiro Itani
;
Shu Seki
;
Takahiro Kozawa
;
Seiichi Tagawa
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
44.
Fundamental parameter extraction for a dry/immersion hybrid photoresist for contact hole applications
机译:
用于接触孔应用的干/浸式混合光刻胶的基本参数提取
作者:
Stewart A. Robertson
;
Shintaro Yamada
;
Joanne M. Leonard
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
lithography simulation;
diffusion co-efficients;
dissolution rates;
45.
Fundamentals of the Reaction-Diffusion Process in Model EUV Photoresists
机译:
EUV型光刻胶中反应扩散过程的基本原理
作者:
Kristopher A. Lavery
;
Kwang-Woo Choi
;
Bryan D. Vogt
;
Vivek M. Prabhu
;
Eric K. Lin
;
Wen-li Wu
;
Sushil K. Satija
;
Michael J. Leeson
;
Heidi B. Cao
;
George Thompson
;
Hai Deng
;
David S. Fryer
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
photolithography;
chemically amplified photoresists;
diffusion;
46.
Development of fluoropolymer for 193nm immersion lithography
机译:
用于193nm浸没式光刻的含氟聚合物的开发
作者:
Naoko Shirota
;
Yoko Takebe
;
Takashi Sasaki
;
Osamu Yokokoji
;
Minoru Toriumi
;
Hiroshi Masuhara
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
fluoropolymer;
immersion;
dissolution rate;
co-polymerization;
QCM;
swelling;
water;
top-coat;
47.
Direct determination of photoresist composition changes during UV exposure
机译:
直接确定UV曝光期间光刻胶成分的变化
作者:
Frances A. Houle
;
Vaughn R. Deline
;
Hoa Truong
;
Ratnam Sooriyakumaran
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
48.
Dissolution Fundamentals of 193-nm Methacrylate Based Photoresists
机译:
193 nm甲基丙烯酸酯基光刻胶的溶解原理
作者:
Ashwin Rao
;
Shuhui Kang
;
Bryan D. Vogt
;
Vivek M. Prabhu
;
Eric K. Lin
;
Wen-Li Wu
;
Karen Turnquest
;
William D. Hinsberg
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
dissolution;
line edge roughness;
photoresist;
reflectivity;
swelling;
49.
Deconstructing the Resist to Probe Innate Material Roughness
机译:
解构抗蚀剂以探测固有材料的粗糙度
作者:
T. H. Fedynyshyn
;
R. F. Sinta
;
D. K. Astolfi
;
A. Cabral
;
J. Roberts
;
R. Meagley
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
photoresist;
line edge roughness;
PAG;
50.
Changes of Chemical Nature of Photoresists Induced by Various Plasma Treatments and Their Impact on LWR
机译:
各种等离子体处理诱导的光致抗蚀剂化学性质的变化及其对轻水堆的影响
作者:
Hiroichi Kawahira
;
Nobuyuki N. Matsuzawa
;
Eriko Matsui
;
Atsuhiro o
;
Kazi M. A. Salam
;
Masashi Yoshida
;
Yuko Yamaguchi
;
Katsuhisa Kugimiya
;
Tetsuya Tatsumi
;
Hiroyuki Nakano
;
Takeshi Iwai
;
Makiko Irie
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
line width roughness;
HBr treatment;
inductively coupled plasma;
capacitively coupled plasma;
HBr plasma;
ArF photoresist;
γ-butyrolactone;
FTIR;
Fourier analysis;
σ -plot;
51.
Molecular Glass Resists for Next Generation Lithography
机译:
分子玻璃抵抗下一代光刻
作者:
Daniel Bratton
;
Ramakrishnan Ayothi
;
Nelson Felix
;
Heidi Cao
;
Hai Deng
;
Christopher K. Ober
会议名称:
《》
|
2006年
关键词:
molecular glass resists;
EUV;
E-beam;
chemical amplification;
52.
Numerical Analyses of the Roles of Gas Phase and Liquid Phase UV Photochemistry in Conventional and Immersion 193 nm Lithography
机译:
气相和液相UV光化学在常规和浸没式193 nm平版印刷中的作用的数值分析
作者:
William Hinsberg
;
Frances A. Houle
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
oxygen photochemistry;
water photochemistry;
kinetic analysis;
bright/dark field effects;
53.
Optimization of Dual BARC Structures for Hyper-NA Immersion Lithography
机译:
Hyper-NA浸没式光刻的双BARC结构的优化
作者:
Nobuyuki N. Matsuzawa
;
Boontarika Thunnakart
;
Ken Ozawa
;
Yuko Yamaguchi
;
Hiroyuki Nakano
;
Hiroichi Kawahira
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
bottom anti-reflective coating;
hyper-NA;
Si substrate;
oxide layer;
nitride layer;
graded layer;
optimization;
incident angle;
54.
Novel fluorinated polymers for application in 193-nm lithography and 193-nm immersion lithography
机译:
适用于193 nm光刻和193 nm浸没光刻的新型氟化聚合物
作者:
Tsuneo Yamashita
;
Takuji Ishikawa
;
Tomohiro Yoshida
;
Takashi Hayami
;
Hirokazu Aoyama
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193-nm lithography;
193-nm immersion lithography;
resist;
fluoropolymer;
quartz crystal microbalance (QCM);
immersion top-coat;
TARC (top anti-reflective coating);
55.
Negative-Tone Polyphenol Resist Based on Chemically-Amplified Polarity Change Reaction with Sub-50 nm Resolution Capability
机译:
基于化学放大的极性变化反应且分辨力低于50 nm的负性多酚树脂
作者:
Kyoko Kojima
;
Takashi Hattori
;
Hiroshi Fukuda
;
Taku Hirayama
;
Daiju Shiono
;
Hideo Hada
;
Junichi Onodera
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
negative-tone;
polyphenol;
polarity change;
EB lithography;
lactonization;
resist;
56.
New 193nm Top Antireflective Coatings for Superior Swing Reduction
机译:
新型193nm顶级抗反射涂层,可有效减少摆动
作者:
Wu-Song Huang
;
William H. Heath
;
Ranee Kwong
;
Wenjie Li
;
Kaushal Patel
;
Pushkara Rao Varanasi
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
57.
Reactive ion etching of fluorine containing photoresist
机译:
含氟光刻胶的反应离子刻蚀
作者:
Kaushal S. Patel
;
Victor Pham
;
Wenjie Li
;
Mahmoud Khojasteh
;
Pushkara Rao Varanasi
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
ArF;
fluorinated photoresist;
reactive ion etching;
ohnishi parameter;
ring parameter;
etch rate model;
58.
New high index fluids for immersion lithography
机译:
用于浸没式光刻的新型高折射率流体
作者:
Elizabeth Costner
;
J. Christopher Taylor
;
Stefan Caporale
;
William Wojtczak
;
Dean Dewulf
;
Will Conley
;
C. Grant Willson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
high index fluid;
aqueous salt solutions;
absorbance;
193 nm;
59.
Solid Defects Condensation during Watermark Formation for Immersion Lithography
机译:
浸没式光刻水印形成过程中的固体缺陷冷凝
作者:
Takayoshi Niiyama
;
Akira Kawai
;
Shimpei Hori
;
Masahiko Harumoto
;
Osamu Tamada
;
Masakazu Sanada
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
watermark;
particle;
micro wetting;
evaporation;
surface energy;
pinning effect;
immersion lithography;
static watermark;
dynamic watermark;
60.
Spin-on Hard Mask with Dual BARC Property for 50 nm Devices
机译:
具有双重BARC特性的旋涂式硬掩模,适用于50 nm器件
作者:
Jung Hwan Hah
;
Yun Sook Chae
;
Yun-Kyeong Jang
;
Manhyoung Ryoo
;
Sang-Jun Choi
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
ArF;
immersion;
dual BARC;
hard mask;
spin-on;
61.
Selection and evaluation of developer-Soluble topcoat for 193 nm immersion lithography
机译:
用于193 nm浸没式光刻的可溶于显影剂的面漆的选择和评估
作者:
Yayi Wei
;
K. Petrillo
;
S. Brl
;
F. Goodwin
;
P. Benson
;
R. Housley
;
U. Okoroanyanwu
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193nm immersion lithography;
topcoat;
resists;
62.
SILICON CONTAINING POLYMER IN APPLICATIONS FOR 193 NM HIGH NA LITHOGRAPHY PROCESSES
机译:
193 NM高NA光刻工艺应用中的含硅聚合物。
作者:
Sean Burns
;
Dirk Pfeiffer
;
Arpan Mahorowala
;
Karen Petrillo
;
Alexra Clancy
;
Katherina Babich
;
David Medeiros
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193 nm lithography;
silicon containing BARC;
high etch selectivity;
contact hole shrink;
reflectivity control;
hyper NA;
63.
Pattern defect study using cover material film in immersion lithography
机译:
在浸没式光刻中使用覆盖材料膜进行图案缺陷研究
作者:
Daisuke Kawamura
;
Tomoyuki Takeishi
;
Kentarou Matsunaga
;
Eishi Shiobara
;
Yasunobu Oonishi
;
Shinichi Ito
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
64.
Study of the Effect of Amine Additives on LWR and LER
机译:
胺类添加剂对LWR和LER的影响研究
作者:
Francis M. Houlihan
;
David Rentkiewicz
;
Guanyang Lin
;
Dalil Rahman
;
Douglas Mackenzie
;
Allen Timko
;
Takanori Kudo
;
Clement Anyadiegwu
;
Muthiah Thiyagarajan
;
Simon Chiu
;
rew Romano
;
Ralph R. Dammel
;
Munirathna Padmanaban
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193 nm lithography;
193 resist;
LWR;
LER;
base;
65.
Synthesis of High Refractive Index Sulfur Containing Polymers for 193nm Immersion Lithography; A Progress Report
机译:
用于193nm浸没式光刻的高折射率含硫聚合物的合成;进度报告
作者:
Idriss Blakey
;
Will Conley
;
Graeme A. George
;
David J. T. Hill
;
Heping Liu
;
Firas Rasoul
;
rew K. Whittaker
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
resist polymers;
high RI;
RI dispersion;
sulfur;
QSPR;
66.
The Transfer of Photoresist LER Through Etch
机译:
通过光刻胶转移光致抗蚀剂LER
作者:
Adam R. Pawloski
;
Alden Acheta
;
Scott Bell
;
Bruno La Fontaine
;
Tom Wallow
;
Harry J. Levinson
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
photoresist;
line-edge-roughness (LER);
image-log-slope (ILS);
67.
Resolution Enhanced Top And Reflective Coating Materials For ArF Immersion Lithography
机译:
用于ArF浸没光刻的分辨率增强的顶部和反射涂层材料
作者:
Jae-Chang Jung
;
Sung-Koo Lee
;
Keun-Do Ban
;
Cheolkyu Bok
;
Hyeong-Soo Kim
;
Seung-Chan Moon
;
Jinwoong Kim
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
ArF;
immersion lithography;
top antireflective;
defect;
quencher;
68.
Top Coat or no Top Coat for immersion lithography?
机译:
适用于浸没式光刻的面漆或无面漆?
作者:
N. Stepanenko
;
Hyun-Woo Kim
;
S. Kishimura
;
D. Van Den Heuvel
;
N. Venbroeck
;
M. Kocsis
;
P. Foubert
;
M. Maenhoudt
;
M. Ercken
;
F. Van Roey
;
R. Gronheid
;
I. Pollentier
;
D. Vangoidsenhoven
;
C. Delvaux
;
C. Baerts
;
S. OBrien
;
W. Fyen
;
G. Wells
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
immersion lithography;
top coat;
defects;
contact angle;
scan speed;
intermixing layer;
water uptake;
leaching;
69.
Top Barrier Coating Materials for Immersion Lithography and Beyond
机译:
浸没式光刻及其他以外的顶级阻隔涂料
作者:
Mitsuhiro Hata
;
Jin-Young Yoon
;
Jung-Hwan Hah
;
Man-Hyoung Ryoo
;
Sang-Jun Choi
;
Han-Ku Cho
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
ArF immersion;
EUV;
top coat;
barrier layer;
leaching;
outgas;
ROMA;
70.
Minimizing wafer defectivity during high temperature baking of organic films in 193nm lithography
机译:
在193nm光刻工艺中有机膜的高温烘烤过程中,将晶圆缺陷率降至最低
作者:
Mai Rall
;
Christopher Longstaff
;
Kenichi Ueda
;
Jim Nicholson
;
Thomas Winter
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
defect reduction;
sublimation;
airborne defects;
cost savings;
reduced preventative maintenance;
71.
Mechanistic Model of Line Edge Roughness
机译:
线边缘粗糙度的机械模型
作者:
Mark D. Smith
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
72.
Adhesion between template materials and UV-cured nanoimprint resists
机译:
模板材料与紫外线固化的纳米压印抗蚀剂之间的粘合力
作者:
Frances A. Houle
;
Eric Guyer
;
Dolores C. Miller
;
Reinhold Dauskardt
;
Emily Rice
;
Jeremy Hamilton
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
73.
A Novel Switchable BARC (SBARC) and Process to Improve Pattern Collapse and Defect Control
机译:
一种新颖的可切换BARC(SBARC)和改进模式崩溃和缺陷控制的过程
作者:
Ching Yu Chang
;
D.C. Yu
;
J.H. Chen
;
John C.H. Lin
;
Burn J. Lin
;
James W. Thackeray
;
Vaishali Vohra
;
Gerald Wayton
;
Tomoki Kurihara
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
hydrophobic;
hydrophilic;
van der waal force;
switchable;
cleaving group;
BARC;
74.
A high productivity, low defectivity, develop process for 193nm lithography
机译:
高生产率,低缺陷率的193nm光刻显影工艺
作者:
George Mack
;
Steven Consiglio
;
Jeffrey Bright
;
Kenichi Ueda
;
Tom Winter
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
develop process;
CD control;
defects;
75.
193 nm Resist Composition Using Hybrid Copolymers of Cycloolefin/ Maleic Anhydride (COMA)/Methacrylate
机译:
使用环烯烃/马来酸酐(COMA)/甲基丙烯酸酯的杂化共聚物构成的193 nm抗蚀剂
作者:
M. Dalil Rahman
;
Srinivasan Chakrapani
;
Clement Anyadiegwu
;
Guanyang Lin
;
Allen Timko
;
Frank Houlihan
;
David Rentkiewicz
;
Takanori Kudo
;
Douglas McKenzie
;
Ralph Dammel
;
Munirathna Padmanaban
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
hybrid copolymer;
193 resist compositions;
methacrylate;
cycloolefin-maleic anhydride;
76.
Evaluation of 193nm immersion resist without topcoat
机译:
不带面漆的193nm浸没抗蚀剂评估
作者:
Yayi Wei
;
N. Stepanenko
;
A. Laessig
;
L. Voelkel
;
M. Sebald
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
193nm immersion lithography;
resists;
process window;
pre-rinse;
post-rinse;
77.
Everything You Ever Wanted to Know About Why the Semiconductor Industry Needs a High Refractive Index Photoresist...But Were Afraid to Ask, Part 1
机译:
您曾经想知道的有关半导体行业为何需要高折射率光致抗蚀剂的一切...但是不敢问,第1部分
作者:
Will Conley
;
Robert Socha
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
78.
High-Refractive-Index Fluids for the Next Generation ArF Immersion Lithography
机译:
下一代ArF浸没式光刻的高折射率液体
作者:
Yong Wang
;
Takashi Miyamatsu
;
Taiichi Furukawa
;
Kinji Yamada
;
Tetsuo Tominaga
;
Yutaka Makita
;
Hiroki Nakagawa
;
Atsushi Nakamura
;
Motoyuki Shima
;
Shiro Kusumoto
;
Tsutomu Shimokawa
;
Katsuhiko Hieda
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
关键词:
high-refractive-index fluid;
ArF immersion lithography;
UV;
photodecomposition;
photoresist defects;
79.
Marching to the beat of Moore's Law
机译:
迈向摩尔定律的步伐
作者:
Yan Borodovsky
会议名称:
《Advances in Resist Technology and Processing XXIII pt.1》
|
2006年
意见反馈
回到顶部
回到首页