掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Photomask and Next-Generation Lithography Mask Technology XII pt.1
Photomask and Next-Generation Lithography Mask Technology XII pt.1
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Validation of Nu-Flare E-beam Emulation software in a Simulation Environment
机译:
在仿真环境中验证Nu-Flare电子束仿真软件
作者:
Daniel Ritter
;
Peter Brooker
;
John Lewellen
;
Young-Mog Ham
;
Patrick Martin
;
R Cottle
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
PEC;
RET;
mask making;
E-Beam;
simulation;
2.
The unified mask data format based on OASIS for VSB EB writers
机译:
VSB EB编写器基于OASIS的统一掩码数据格式
作者:
Toshio Suzuki
;
Junji Hirumi
;
Yutaka Hojyo
;
Yuichi Kawase
;
Shinji Sakamoto
;
Koki Kuriyama
;
Syogo Narukawa
;
Morihisa Hoga
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
GDSII;
MDP;
EB;
VSB;
OASIS;
EDA;
RET;
3.
Simulation of resist heating effect with E-beam lithography using Distributed Processing (DP)
机译:
使用分布式处理(DP)的电子束光刻模拟抗蚀剂加热效果
作者:
Won-Tai Ki
;
Byung-Sup Ahn
;
Ji-Soong Park
;
Seung-Woon Choi
;
Sang-Back Ma
;
Woo-Sung Han
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
photomask;
E-beam lithography;
resist heating effect;
distributed processing (DP);
4.
RET Masks for the Final Frontier of Optical Lithography
机译:
RET掩模用于光学光刻的最终领域
作者:
J. Fung Chen
;
Douglas van den Broeke
;
Stephen Hsu
;
Michael CW Hsu
;
Tom Laidig
;
Xuelong Shi
;
Ting Chen
;
Robert J. Socha
;
Uwe Hollerbach
;
Kurt E. Wampler
;
Jungchul Park
;
Sangbong Park
;
Keith Gronlund
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
resolution enhancement technology;
RET;
scattering bars;
SB;
model-based SB;
chromeless phase lithography;
CPL;
Double Dipole Lithography;
DDL;
low k_1 lithography;
interference mapping lithography;
IML;
5.
Second Level Exposure for Phase Shift Mask Applications using an SLM-based DUV Mask Writer
机译:
使用基于SLM的DUV Mask Writer进行相移掩模应用的第二级曝光
作者:
Mahesh Chramouli
;
Bob Olshausen
;
Yulia Korobko
;
Sven Henrichs
;
Ping Qu
;
Jian Ma
;
Bruce Auches
;
Damon Cole
;
Thomas Oestroem
;
Angela Beyerl
;
Robert Eklund
;
Raoul Zerne
;
Peter Goeransson
;
Magnus Persson
;
Tom Newman
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
PSM;
Alt-PSM;
DUV;
SLM;
mask writer;
6.
Status of EUVL mask development in Europe
机译:
EUVL口罩在欧洲的发展状况
作者:
Jan Hendrik Peters
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
EUV;
mask substrate;
mask blank;
mask patterning;
CD metrology;
inspection;
handling;
supply chain;
7.
Quartz etch process for Alternating Aperture Phase Shift masks (alt-APSM)
机译:
交替孔径相移掩模(alt-APSM)的石英蚀刻工艺
作者:
Sunil Srinivasan
;
Russ Westerman
;
Jason Plumhoff
;
Dave Johnson
;
Chris Constantine
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
phase shift masks;
phase uniformity;
8.
Implementing chemically amplified resist to 10kV raster e-beam process in photomask manufacturing
机译:
在光掩模制造中对10kV光栅电子束工艺实施化学放大抗蚀剂
作者:
Sook-Kyeong Kim
;
Byung-Gook Kim
;
Seong-Yong Moon
;
Sung-Woon Choi
;
Woo-Sung Han
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
CAR;
ZEP7000;
10keV raster e-beam system;
9.
New EUVL ML Capping Design for ML Blank Multiple Reuses
机译:
针对ML空白多次重复使用的新EUVL ML封盖设计
作者:
Pei-yang Yan
;
Eberhard Spiller
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
extreme ultraviolet lithography (EUVL);
EUVL mask blank;
Ru capping layer;
ML capping layer;
10.
Local IP evaluations of EPL reticle with 4 mm-sq Si membranes
机译:
具有4 mm-sq硅膜的EPL光罩的局部IP评估
作者:
Kaoru Koike
;
Hiroshi Sakaue
;
Hiroshi Arimoto
;
Tukasa Yamazaki
;
Hiroshi Sugimura
;
Takashi Susa
;
Kojiro Itoh
;
Akira Tamura
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
electron beam;
EPL;
stencil mask;
image placement;
large sub-field;
pattern distortion;
11.
New slit scan developer system for advanced 45-nm mask making
机译:
新的狭缝扫描显影系统可用于先进的45 nm掩模制造
作者:
Sharon Wang
;
Shinsuke Miyazaki
;
Makoto Kozuma
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
12.
Novel acid-free cleaning process for mask blanks
机译:
面膜毛坯的新型无酸清洁工艺
作者:
Harald Koster
;
Karsten Branz
;
Uwe Dietze
;
Peter Dress
;
Guenter Hess
会议名称:
《》
|
2005年
关键词:
acid-free cleaning;
mask blanks;
Haze;
PSM;
13.
Patterning of Ta/SiO_2 High Transmission EAPSM Material for 193nm Technology
机译:
用于193nm技术的Ta / SiO_2高透射EAPSM材料的图案化
作者:
Corinna Koepernik
;
H.W. Becker
;
J. Butschke
;
U. Buttgereit
;
M. Irmscher
;
L. Nedelmann
;
F. Schmidt
;
S. Teuber
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
EAPSM;
phase shift mask;
high transmission;
Ta/SiO_2;
dry etching;
193nm lithography;
14.
Performance results from the Zeiss/NaWoTec MeRit MG electron beam mask repair tool
机译:
Zeiss / NaWoTec MeRit MG电子束掩模修复工具的性能结果
作者:
Klaus Edinger
;
Volker Boegli
;
Wolfgang Degel
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
mask repair;
photomask;
reticle;
electron beam;
binary mask;
PSM;
phase shift mask;
electron beam induced reaction;
15.
Print Characterization of Photomasks from Next-Generation Deep-Ultra-Violet Laser Pattern Generator
机译:
下一代深紫外激光图案发生器产生的光掩模的印刷特性
作者:
Curt Jackson
;
Robert Kiefer
;
Peter Buck
;
David Mellenthin
;
John Manfredo
;
Vishal Garg
;
Jason Hickethier
;
Sarah Cohen
;
Cris Morgante
;
Paul C. Allen
;
Eric Christenson
;
Michael White
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
CD uniformity;
DUV;
mask pattern generation system;
multi-beam;
OPC;
pattern fidelity;
16.
Resist baking conversion from wafers to mask-substrates
机译:
抵抗从晶圆到掩模基板的烘烤转换
作者:
Hideo Kobayashi
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
17.
Feasibility Study of Double Exposure Lithography for 65nm 45nm node
机译:
65nm和45nm节点双曝光光刻技术的可行性研究
作者:
Stephen Hsu
;
Douglas Van Den Broeke
;
J. Fung Chen
;
Jungchul Park
;
Michael C W Hsu
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
double exposure lithography;
DEL;
double dipole lithography;
DDL;
off-axis illumination;
OAI;
scattering bars;
SB;
shielding;
RET;
model based lay'out conversion;
polarization;
18.
Impact of the absorber thickness variation on the imaging performance of ArF immersion lithography
机译:
吸收体厚度变化对ArF浸没式光刻成像性能的影响
作者:
Masaki Yoshizawa
;
Vicky Philipsen
;
Leonardus H. A. Leunissen
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
mask topography;
rigorous 3D mask simulation;
193 nm lithography;
absorber thickness;
polarization;
19.
Evaluation of quartz dry etching profile for the PSM lithography performance
机译:
石英干法刻蚀轮廓对PSM光刻性能的评估
作者:
Toru Komizo
;
Satoru Nemoto
;
Yosuke Kojima
;
Takashi Ohshima
;
Takashi Yoshii
;
Toshio Konishi
;
Kazuaki Chiba
;
Yasutaka Kikuchi
;
Masao Otaki
;
Yoshimitsu Okuda
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
quartz etching profile;
phase shift mask;
ArF lithography;
3D-mask simulation;
AIMS;
20.
Evaluation of the new-type ESPACER adopted for its removal after Post-Exposure Bake Process
机译:
评估在暴露后烘烤过程中采用的新型ESPACER的去除方法
作者:
Yoshihiro Saida
;
Takashi Okubo
;
Jun Sasaki
;
Toshio Konishi
;
Motohiko Morita
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
ESPACER;
FEP;
resist thickness reduction;
sheet resistance;
alternating phase shift mask;
second level e-beam exposure;
profile;
21.
Chip Level Lithography Verification System with Artificial Neural Networks
机译:
人工神经网络芯片级光刻验证系统
作者:
Jae-pil Shin
;
Jin-sook Choi
;
Dae-hyun Jung
;
Jee-hyong Lee
;
Moon-hyun Yoo
;
Jeong-taek Kong
会议名称:
《》
|
2005年
关键词:
lithography verification;
hierarchy restructuring;
artificial neural networks;
22.
Correction of long-range effects applied to the 65-nm node
机译:
校正应用于65 nm节点的远程效应
作者:
Jerome Belledent
;
James Word
;
Yorick Trouiller
;
Christophe Couderc
;
Corinne Miramond
;
Olivier Toublan
;
Jean-Damien Chapon
;
Stanislas Baron
;
Amine Borjon
;
Franck Foussadier
;
Christian Gardin
;
Kevin Lucas
;
Kyle Patterson
;
Yves Rody
;
Frank Sundermann
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
stray light;
density;
OPC;
etch;
long range effects;
23.
Dual Layer Patterning failures in complex RET processes using ORC tools and pre or post-Optical Proximity Correction strategy
机译:
使用ORC工具和前后光学接近度校正策略的复杂RET过程中的双层图案故障
作者:
C. Couderc
;
J. Belledent
;
A. Borjon
;
Y. Trouiller
;
F. Sundermann
;
K Lucas
;
JC Urbani
;
F. Foussadier
;
Y. Rody
;
K. Patterson
;
S. Baron
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
optical proximity correction;
optical rule checking;
multi layer ORC;
multi layer interaction domains;
24.
Evaluation of E-Beam Sensitive CARs for Advanced Mask Making
机译:
先进口罩制造的电子束敏感汽车评估
作者:
Axel Feicke
;
Mathias Irmscher
;
Anatol Schwersenz
;
Martin Tschinkl
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
positive-tone;
negative-tone;
chemically amplified resist;
e-beam;
mask process;
25.
EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools
机译:
编写,注册和曝光工具中的EUV蒙版图像放置管理
作者:
Eric Gotte
;
Uwe Dersch
;
Christian Holfeld
;
Uwe Mickan
;
Holger Seitz
;
Thomas Leutbecher
;
Guenter Hess
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
EUV;
image placement;
chucking;
photomask;
stressed layer;
26.
Cryogenic aerosol cleaning of photomasks
机译:
低温气溶胶清洁光罩
作者:
S. Banerjee
;
CC Lin
;
S. Su
;
H. F. Chung
;
W. Brt
;
K. Tang
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
CO_2 aerosol;
post-repair cleaning;
photomask;
particle removal;
haze;
phase and transmission change;
27.
AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography
机译:
AUV5500:先进的原位干洗和计量工艺,适用于下一代光刻
作者:
Christian Chovino
;
Stefan Helbig
;
Peter Dress
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
dry cleaning;
NGL;
photomask;
contamination;
28.
Application of a wafer development process to mask making
机译:
晶圆开发工艺在掩模制造中的应用
作者:
Gaston Lee
;
Celine Berger
;
Christian Buergel
;
Axel Feicke
;
Rusty Cantrell
;
Martin Tschinkl
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
photomask;
LD nozzle;
SH nozzle;
develop;
puddle process;
CD uniformity;
local loading;
E-beam CAR;
29.
An Automated Mask Defect Analysis System for Increasing Mask Shop Productivity
机译:
自动化的口罩缺陷分析系统,可提高口罩车间的生产率
作者:
Peter Fiekowsky
;
Christopher Lewis
;
y McDonald
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
30.
An Investigation of a New Generation of Progressive Mask Defects on the Pattern Side of Advanced Photomasks
机译:
先进光掩模图案一侧的新一代渐进掩模缺陷的研究
作者:
Kaustuve Bhattacharyya
;
Mark Eickhoff
;
Brian Grenon
;
Mark Ma
;
Sylvia Pas
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
reticle defect;
mask inspection;
crystal growth;
on chrome;
on halftone;
STARlight™;
31.
A study for the control of chemical residuals on photomasks by using a thermal treatment for 65-nm node
机译:
通过对65 nm节点进行热处理来控制光掩模上化学残留物的研究
作者:
Han-Byul Kang
;
Jong-Min Kim
;
Yong-Dae Kim
;
Hyun-Joon Cho
;
Sang-Soo Choi
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
haze;
ammonium sulfate;
thermal treatment;
32.
A new method for correcting proximity and fogging effects by using the EID model of variable shaped beam for 65-nm node
机译:
利用65nm节点的异形光束EID模型校正邻近和雾化效果的新方法
作者:
Eui-Sang Park
;
Hyun-Joon Cho
;
Jin-Min Kim
;
Sang-Soo Choi
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
VSB (variable shaped beam);
PEC (proximity effect correction);
fogging effect;
EID (energy intensity distribution);
33.
A Study of Storage Life Extension for High Performance Chemically Amplified Resist Coated Blank
机译:
高性能化学放大抗蚀涂层毛坯的保质期研究
作者:
Sin-Ju Yang
;
Sung-Min Seo
;
Sang-Hoon Ko
;
Han-Sun Cha
;
Geung-Won Kang
;
Kee-Soo Nam
;
Woong-Won Seo
;
Woo-Kyun Jung
;
Hyun-Kyoon Cho
;
Jin-Min Kim
;
Sang-Soo Choi
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
blank;
photomask;
CAR;
storage life;
AMC;
DTC;
packing materials;
34.
Verification of the modified model of drying process of a polymer liquid film on a flat substrate by experiment
机译:
通过实验验证聚合物液体膜在平坦基底上干燥过程的改进模型
作者:
Hiroyuki Kagami
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
35.
Study of Stress Birefringence for 193-nm Immersion Photomasks
机译:
193 nm浸入式光掩模的应力双折射研究
作者:
Eric Cotte
;
Michael Selle
;
Karsten Bubke
;
Silvio Teuber
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
birefringence;
stress;
photomask;
Jones calculus;
36.
Through-pitch characterization and printability for 65nm half-pitch alternating aperture phase shift applications
机译:
用于65nm半间距交替孔径相移应用的贯穿间距特性和可印刷性
作者:
Vicky Philipsen
;
Leonardus Leunissen
;
Rudi De Ruyter
;
Rik Jonckheere
;
Patrick Martin
;
Photronics
;
Clare Wakefield
;
Stephen Johnson
;
Mike Cangemi
;
Alex Buxbaum
;
Troy Morrison
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
photomask quality printability;
photomask topography;
photomask metrology;
alternating aperture phase-shift masks;
rigorous 3D mask simulation;
37.
Sub 100-nm defect classification and analysis on extreme ultraviolet (EUV) mask blanks and substrates
机译:
极紫外(EUV)掩模坯料和基板的100 nm以下缺陷分类和分析
作者:
Emily Y. Shu
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
defect analysis;
defect composition;
defect review;
defect characterization;
defect root cause;
EUV mask;
EUV blank;
auger spectroscopy;
SEM;
AFM;
EDX;
38.
Recent progress in the fabrication of low defect density mask blanks
机译:
低缺陷密度掩膜毛坯的制造最新进展
作者:
y Ma
;
Patrick Kearney
;
Dave Krick
;
Rajul Rive
;
Ira Reiss
;
Paul Mirkarimi
;
Eberhard Spiller
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
multilayer deposition;
ion beam deposition;
reflectivity;
39.
Simultaneous Source Mask Optimization (SMO)
机译:
同步源掩模优化(SMO)
作者:
Robert Socha
;
Xuelong Shi
;
David LeHoty
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
source;
mask;
illumination;
optimization;
SMO;
chromeless phase lithography;
CPL;
IML;
40.
Requirements for mask technology from the view point of SOC and FLASH memory trends
机译:
从SOC和FLASH存储器趋势的角度出发,对掩模技术的要求
作者:
Akira Imai
;
Nobuyuki Yoshioka
;
Tetsuro Hanawa
;
Koichiro Narimatsu
;
Kunihiko Hosono
;
Kazuyuki Suko
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
41.
Implementation of an efficient defect classification methodology for advanced reticle inspection
机译:
实施有效的缺陷分类方法以进行高级掩模版检查
作者:
Paul Yu
;
Vincent Hsu
;
Ellison Chen
;
Rick Lai
;
Kong Son
;
Weimin Ma
;
Peter Chang
;
Jackie Chen
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
TeraScan;
ReviewSmart;
reticle inspection;
defect review;
42.
Improving OPC Quality Via Interactions Within the Design-to-Manufacturing Flow
机译:
通过设计到制造流程中的交互作用来提高OPC质量
作者:
P. Gupta
;
A.B. Kahng
;
C.-H. Park
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
43.
Investigation of Pellicle Influence on Reticle Flatness
机译:
薄膜对光罩平整度的影响研究
作者:
Christopher Lee
;
Kenneth Racette
;
Monica Barrett
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
photomask;
reticle;
pellicle;
flatness;
mask blank;
depth of focus;
44.
Modeling of Mask Thermal Distortion and Its Dependency on Pattern Density
机译:
掩模热变形的建模及其与图案密度的关系
作者:
Qiaolin Zhang
;
Kameshwar Poolla
;
Costas J. Spanos
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
mask thermal distortion;
pattern density;
pattern layout;
pattern density distribution;
finite element model;
monte carlo simulation;
45.
Monitoring System of CD error Analysis for 90-nm node Mask Manufacturing
机译:
90 nm节点掩模制造CD错误分析监控系统
作者:
Sang-Yong Yu
;
Soon-Ho Kim
;
Byung-Cheol Cha
;
Yong-Hoon Kim
;
Seung-Woon Choi
;
Hee-Sun Yoon
;
Woo-Sung Han
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
mask uniformity;
global CD error;
local CD error;
46.
Multi-layer and Multi-product Masks: Cost Reduction Methodology
机译:
多层和多产品掩模:降低成本的方法
作者:
Artur Balasinski
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
mask sharing;
mask shuttle;
stepper throughput;
mask cost;
business model;
47.
Photomask process development for next generation lithography
机译:
下一代光刻的光掩模工艺开发
作者:
Shiho Sasaki
;
Kimio Itoh
;
Akiko Fujii
;
Nobuhito Toyama
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
imprint;
1X masks;
higher resolution;
mold;
48.
Photomask lifetime issues in ArF lithography
机译:
ArF光刻中的光掩模寿命问题
作者:
Florence Eschbach
;
Peter Coon
;
Barbara Greenebaum
;
Anurag Mittal
;
Peter Sanchez
;
Daniel Tanzil
;
Grace Ng
;
Henry Yun
;
Archita Sengupta
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
ArF lithography;
photoinduced defects;
pellicle lifetime;
49.
Prediction of etch profile and CD variation due to dry etch using the TRAVIT software tool
机译:
使用TRAVIT软件工具预测由于干法蚀刻引起的蚀刻轮廓和CD变化
作者:
S. Babin
;
K. Bay
;
S. Okulovsky
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
maskmaking;
dry etch;
etch profile;
CD variation;
simulation;
50.
Exploring the fundamental limit of CD control: shot noise and CD uniformity improvement through resist thickness
机译:
探索CD控制的基本极限:通过抗蚀剂厚度改善散粒噪声和CD均匀性
作者:
Ming L. Yu
;
Allan Sagle
;
Benny Buller
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
shot noise;
CD uniformity;
resist;
acid diffusion;
beam blur;
51.
Exploring new high speed, mask aware RET verification flows
机译:
探索新的高速,可识别掩模的RET验证流程
作者:
Patrick Martin
;
Christopher J. Progler
;
Young-mog Ham
;
Bryan Kasprowicz
;
Rick Gray
;
James N. Wiley
;
Zongchang Yu
;
Jun Ye
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
optical lithography;
ET;
OPC;
simulation;
verification;
image based;
aerial image;
metrology;
AIMS;
photomask;
52.
High Performance FEP-171 Resist Process in Combination with NTAR7 and NTAR5 Chrome
机译:
结合了NTAR7和NTAR5铬的高性能FEP-171抵抗工艺
作者:
Kezhao Xing
;
Johan Karlsson
;
Adisa Paulson
;
Charles Bjoernberg
;
Axel Lundvall
;
Peter Hoegfeldt
;
Jukka Vedenpaeae
;
Robin Goodoree
;
Mans Bjuggren
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
photomask;
NTAR7;
NTAR5;
FEP-171;
development;
53.
Determination of mask induced polarization effects on AltPSM mask structures
机译:
确定掩模引起的极化对AltPSM掩模结构的影响
作者:
Ingo Hoellein
;
Silvio Teuber
;
Karsten Bubke
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
AltPSM;
polarization;
high NA;
immersion;
50 nm lithography;
54.
Character-Build Standard-Cell Layout Technique for High-Throughput Character-Projection EB lithography
机译:
高通量字符投影EB光刻的字符构建标准单元布局技术
作者:
Takeshi Fujino
;
Yoshihiko Kajiya
;
Masaya Yoshikawa
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
EB direct write;
EB lithography;
character projection;
cell projection;
block exposure;
standard cell. mask layout;
55.
An efficient Resolution Enhancement Technique flow for 65nm Logic Poly layer
机译:
用于65nm逻辑多晶硅层的高效分辨率增强技术流程
作者:
Paul.J.M. van Adrichem
;
Manoj Chacko
;
Bryan S. Kasprowicz
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
resolution enhancement;
alternating phase shifting mask;
OPC;
cost;
65nm;
56.
Advances with the new AIMS™ fab 193 2nd generation: A system for the 65 nm node including immersion
机译:
新的第二代AIMS™fab 193的进步:用于65 nm节点的系统,包括浸没
作者:
Axel M. Zibold
;
E. Poortinga
;
H.v. Doornmalen
;
R. Schmid
;
T. Scheruebl
;
W. Hamisch
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
AIMS;
printability;
photomask;
immersion;
numerical aperture;
polarisation;
PSM;
OPC;
design for manufacture;
automation;
57.
65nm mask CD qualification on critical features through simulation based Lithography Verification
机译:
通过基于模拟的光刻验证对关键特征进行65nm掩模CD鉴定
作者:
Paul.J.M. van Adrichem
;
John Valadez
;
David Ziger
;
Dave Gerold
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
SiVL;
metrology automation;
mask qualification;
CD;
MEEf;
58.
A Novel Robust Optimization Method of Exposure and Mask Conditions for Beyond 65 nm-node Lithography
机译:
超越65 nm节点光刻的曝光和掩模条件的鲁棒优化方法
作者:
Koichi Takeuchi
;
Kazuya Iwase
;
Ken Ozawa
;
Fumikatsu Uesawa
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
optimization method;
lithography simulation;
alt-PSM;
mask structures;
design of experiments;
59.
Mask Modeling in the Low k_1 and Ultrahigh NA Regime: Phase and Polarization Effects
机译:
低k_1和超高NA条件下的掩模建模:相位和偏振效应
作者:
Andreas Erdmann
会议名称:
《Photomask and Next-Generation Lithography Mask Technology XII pt.1》
|
2005年
关键词:
lithography simulation;
mask topography;
mask polarization;
意见反馈
回到顶部
回到首页