掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Semiconductor Manufacturing Technology Workshop
Semiconductor Manufacturing Technology Workshop
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An e-Diagnostics framework with security considerations for semiconductor factories
机译:
具有用于半导体工厂的安全注意事项的电子诊断框架
作者:
Min-Hsiung Hung
;
Rui-Wen Ho
;
Fan-Tien Cheng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor technology;
semiconductor device manufacture;
factory automation;
software engineering;
object-oriented methods;
security of data;
production engineering computing;
semiconductor factories;
e-diagnostics framework;
software technologies;
object-oriented technologies;
Web services;
XML signature;
XML encryption;
UML;
system security;
semiconductor industry;
data accuracy confirmation;
information confidentiality assurance;
system users management;
system operations auditing;
single sign-on authentication;
single sign-on authorization;
2.
Key factor for new technology transfer on the RD cycle-time system
机译:
R&D循环时间系统新技术转让的关键因素
作者:
Chen Yu-Chih
;
Young K.L.
;
Chou J.Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
technology transfer;
research and development;
process control;
semiconductor device manufacture;
technology transfer;
research and development;
cycle time management;
semiconductor manufacturing;
3.
Developing statistical models in an early warning system and its empirical study
机译:
在预警系统中开发统计模型及其实证研究
作者:
Pei-Nong Chen
;
Chen-Fu Chien
;
Sheng-Jen Wang
;
Chien-Chung Chen
;
Haw-Jyue Luo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
process monitoring;
preventive maintenance;
DRAM chips;
integrated circuit manufacture;
production equipment;
alarm systems;
statistical analysis;
developing statistical model;
early warning system;
process monitoring;
DRAM fabrication facility;
semiconductor manufacturing;
4.
Selectivity investigation of HfO/sub 2/ to oxide using wet etching
机译:
使用湿法蚀刻的HFO / sub 2 /氧化物的选择性研究
作者:
Tsung-Kuei Kang
;
Chih-Cheng Wang
;
Bing-Yue Tsui
;
Yuan-Hsin Li
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
etching;
chemical vapour deposition;
hafnium compounds;
dielectric thin films;
semiconductor technology;
annealing;
semiconductor device manufacture;
wet etching;
CVD oxide films;
oxide etching selectivity;
HfO/sub 2/;
5.
Process optimization by advanced process control with fault detection system for flash memory
机译:
用闪存故障检测系统进行高级过程控制处理优化
作者:
Tuung Luoh
;
Chang-Wei Liao
;
Li-Chung Yang
;
Ling-Wuu Yang
;
Chi-Tung Huang
;
Hsueh-Hao Shih
;
Kuang-Chao Chen
;
Chung H.
;
Ku J.
;
Chih-Yuan Lu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
flash memories;
plasma materials processing;
phosphosilicate glasses;
sputter etching;
process monitoring;
statistical process control;
fault detection system;
flash memory;
advanced process control;
process optimization;
fault classification system;
phosphosilicate glass;
real-time monitoring;
multivariate statistically calculation;
wafer acceptance test;
wafer sort yield;
6.
Multivariable control of multi-zone chemical mechanical polishing
机译:
多变量控制多区化学机械抛光
作者:
Sheng-Jyh Shiul
;
Cheng-Ching Yu
;
Shih-Haur Shen
;
An-Jhih Sul
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
multivariable control systems;
chemical mechanical polishing;
process control;
singular value decomposition;
feedback;
semiconductor device manufacture;
multivariable control;
multizone chemical mechanical polishing;
process control;
singular value decomposition;
nonsquare feedback controller;
7.
Real-time fault detection and classification for manufacturing etch tools
机译:
制造蚀刻工具的实时故障检测和分类
作者:
Mao-Shiung Chen
;
Yen T.F.
;
Coonan B.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
fault location;
etching;
production equipment;
semiconductor device manufacture;
statistical process control;
pattern recognition;
plasma materials processing;
production facilities;
real-time fault detection;
real-time fault classification;
manufacturing etch tools;
process control;
semiconductor manufacturing;
tool productivity;
statistical process control;
pattern recognition algorithm;
Straatum/spl trade/;
plasma index;
ProMOS Technologies Inc;
manufacturing facility;
semiconductor tool;
Tokyo/spl trade/;
DRM/spl trade/;
oxide etch tool;
8.
Effective methodology for killer defect management in 300 mm DRAM fab
机译:
300 mm DRAM FAB中的杀手缺陷管理的有效方法
作者:
Lin L.
;
Chen J.Y.
;
Chou E.
;
Miura Y.
;
Chang S.
;
Chiu J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
production management;
inspection;
semiconductor device manufacture;
killer defect management;
real-time defect classification;
DRAM production line;
defect inspection;
process engineer;
integration engineer;
300 mm;
9.
Management considerations in choosing a chemical supply system
机译:
选择化学供应系统的管理考虑因素
作者:
Chun-Hung Tsai
;
Yu-Chung Lin
;
Chuen-Tun Hsu
;
Rong-Tian Cheng
;
Chung-Chieh Chang
;
Yung-Chao Lin
;
Po-Jen Chao
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
supply chain management;
cost-benefit analysis;
manufacturing systems;
chemical supply system;
semiconductor fabrication;
dilution system;
lorry supply system;
drum supply system;
10.
Multivariable control of multi-zone chemical mechanical polishing
机译:
多变量控制多区化学机械抛光
作者:
Sheng-Jyh Shiul
;
Cheng-Ching Yu
;
Shih-Haur Shen
;
An-Jhih Sul
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
multivariable control systems;
chemical mechanical polishing;
process control;
singular value decomposition;
feedback;
semiconductor device manufacture;
multivariable control;
multizone chemical mechanical polishing;
process control;
singular value decomposition;
nonsquare feedback controller;
11.
Machine grouping algorithm for stepper back-up and an empirical study
机译:
步进备用机器分组算法及实证研究
作者:
Chia-Yu Hsu
;
Chen-Fu Chien
;
Yung-Chen Tsao
;
Cheng-Yi Li
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
flexible manufacturing systems;
integrated circuit yield;
photolithography;
semiconductor device manufacture;
machine grouping algorithm;
stepper back-up;
operation cost;
lithographic system;
equipment fault;
photolithography;
semiconductor manufacturing;
12.
SPC precaution system
机译:
SPC预防系统
作者:
Chen M.F.
;
Huang M.C.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
statistical process control;
production management;
control charts;
production engineering computing;
semiconductor device manufacture;
SPC precaution system;
statistical process control;
off-line process data;
inline process data;
production line;
13.
An optical interference model to analyze interferometry endpoint signal for process control of polysilicon gate etch
机译:
用于分析多晶硅栅蚀刻过程控制的干涉终点信号的光学干扰模型
作者:
Hsu L.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
light interference;
light interferometry;
atomic emission spectroscopy;
process control;
sputter etching;
optical interference model;
interferometry endpoint signal;
etching process control;
polysilicon gate etch;
plasma etch;
thin gate dielectric;
optical emission spectroscopy;
field oxide;
device wafer;
14.
Risk management in semiconductor industry
机译:
半导体行业风险管理
作者:
Kochun Mou
;
Guang-Hann Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit manufacture;
risk management;
occupational safety;
risk management;
semiconductor industry;
risk control;
loss prevention;
industrial safety and health;
environmental protection;
15.
Planning yields in recycling test wafers
机译:
策划在回收测试晶片中的产量
作者:
Muh-Cherng Wu
;
Chien C.S.
;
Lu K.S.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
planning;
integrated circuit testing;
integrated circuit yield;
recycling;
semiconductor device manufacture;
yield improvement;
test wafer recycle process;
decision problem;
16.
Automatically form batch via real time dispatcher for furnace operation in 300 mm fab
机译:
通过实时调度仪自动形成批次,用于300 mm Fab中的炉操作
作者:
De-Lung Wu
;
Hsi-Lo Lo
;
Cheng-Chung Pan
;
Yu-Ting Chang
;
Chin-Lang Peng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
furnaces;
integrated circuit manufacture;
semiconductor device manufacture;
semiconductor technology;
batch production systems;
goods distribution;
furnace operation;
FOUP transportation;
lot dispatching;
production data processing;
semiconductor Fab;
batching size;
wafer;
furnace dispatching;
auto forming batch system;
real time dispatching system;
PSC FAB 12A;
300 mm;
17.
Real-time fault detection and classification for manufacturing etch tools
机译:
制造蚀刻工具的实时故障检测和分类
作者:
Mao-Shiung Chen
;
Yen T.F.
;
Coonan B.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
fault location;
etching;
production equipment;
semiconductor device manufacture;
statistical process control;
pattern recognition;
plasma materials processing;
production facilities;
real-time fault detection;
real-time fault classification;
manufacturing etch tools;
process control;
semiconductor manufacturing;
tool productivity;
statistical process control;
pattern recognition algorithm;
Straatum/spl trade/;
plasma index;
ProMOS Technologies Inc;
manufacturing facility;
semiconductor tool;
Tokyo/spl trade/;
DRM/spl trade/;
oxide etch tool;
18.
Inter-firm collaboration mechanism in process development and product design between foundry and fabless design house
机译:
铸造与无晶圆厂设计房屋工艺开发和产品设计中的公司间协作机制
作者:
Yea-Huey Su
;
Ruey-Shan Guo
;
Shi-Chung Chang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
foundries;
product design;
product development;
integrated circuit design;
integrated circuit manufacture;
foundry;
fabless design house;
product design;
process development;
interfirm collaboration mechanism;
IC design;
integrated circuit manufacturing;
process technology;
product design tuning;
process tuning;
problem solving;
19.
SPC precaution system
机译:
SPC预防系统
作者:
Chen M.F.
;
Huang M.C.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
statistical process control;
production management;
control charts;
production engineering computing;
semiconductor device manufacture;
SPC precaution system;
statistical process control;
off-line process data;
inline process data;
production line;
20.
In-line inspection on thickness of sputtered HfO/sub 2/ and Hf metal ultra-thin films by spectroscopic ellipsometry
机译:
通过光谱椭圆形测量溅射HFO /亚2 /和HF金属超薄膜厚度的在线检查
作者:
Chuo Y.
;
Shu D.Y.
;
Lee L.S.
;
Hsieh W.Y.
;
Tsai M.J.
;
Wang A.
;
Hung S.B.
;
Tzeng P.J.
;
Chou Y.W.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
hafnium compounds;
dielectric thin films;
metallic thin films;
ellipsometry;
nondestructive testing;
thickness measurement;
sputter deposition;
inspection;
semiconductor device manufacture;
thickness in-line inspection;
spectroscopic ellipsometry;
Hf metal ultra-thin film;
MOS gate dielectric;
deposition process;
nondestructive optical method;
wafer mapping measurement;
40 A;
HfO/sub 2/;
Hf;
21.
An effective recipe control and management system (RCMS) deployed in semiconductor manufacturing
机译:
半导体制造中部署的有效配方控制和管理系统(RCMS)
作者:
Shou-Chi Tseng
;
Shu-Li Chen
;
Jen-Kuan Chang
;
Chien-Chang Chen
;
Mei-Ling Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit manufacture;
semiconductor technology;
manufacturing processes;
production equipment;
production engineering computing;
process control;
recipe control;
management system;
semiconductor manufacturing technology;
wafer scrap;
recipe change co-sign system;
job-in-cancel function;
alarm disposition request;
advanced pre-checking scheme;
mass production;
post auditing report;
22.
Cutting for QFN packaging by diode pumping solid state laser system
机译:
二极管泵固态激光系统切割QFN包装
作者:
Chun-Hao Li
;
Ming-Jong Tsai
;
Chen R.
;
Chen-Hau Lee
;
Sheng-Wen Hong
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
integrated circuit manufacture;
printed circuit manufacture;
laser beam cutting;
integrated circuit packaging;
quad flat no-lead packaging;
diode pumping solid state laser system;
printed-circuit board;
mass production;
stress releasing problem;
laser cutting;
semiconductor industry;
23.
An e-Diagnostics framework with security considerations for semiconductor factories
机译:
具有用于半导体工厂的安全注意事项的电子诊断框架
作者:
Min-Hsiung Hung
;
Rui-Wen Ho
;
Fan-Tien Cheng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor technology;
semiconductor device manufacture;
factory automation;
software engineering;
object-oriented methods;
security of data;
production engineering computing;
semiconductor factories;
e-diagnostics framework;
software technologies;
object-oriented technologies;
Web services;
XML signature;
XML encryption;
UML;
system security;
semiconductor industry;
data accuracy confirmation;
information confidentiality assurance;
system users management;
system operations auditing;
single sign-on authentication;
single sign-on authorization;
24.
Automatically form batch via real time dispatcher for furnace operation in 300 mm fab
机译:
通过实时调度仪自动形成批次,用于300 mm Fab中的炉操作
作者:
De-Lung Wu
;
Hsi-Lo Lo
;
Cheng-Chung Pan
;
Yu-Ting Chang
;
Chin-Lang Peng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
furnaces;
integrated circuit manufacture;
semiconductor device manufacture;
semiconductor technology;
batch production systems;
goods distribution;
furnace operation;
FOUP transportation;
lot dispatching;
production data processing;
semiconductor Fab;
batching size;
wafer;
furnace dispatching;
auto forming batch system;
real time dispatching system;
PSC FAB 12A;
300 mm;
25.
Test wafer control system in 300 mm FAB
机译:
测试晶片控制系统300 mm fab
作者:
Jer-Wei Hsu
;
Hsi-Lo Lo
;
Cheng-Chung Pan
;
Yi-Ming Chen
;
Teng-Ko Hsieh
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
process control;
integrated circuit testing;
stability;
quality management;
process monitoring;
semiconductor device manufacture;
costing;
test wafer control system;
wafer fabrication;
equipment stability;
product quality;
FAB productivity;
wafer cost;
300 mm;
26.
On achieving large inductances for small on-chip inductors through providing pre-programmed multi-dipole cushioning for the spiral inductors via nano technology
机译:
通过为螺旋电感器提供预先编程的多偶极电感来实现小型片式电感器的大电感
作者:
Chungpin Liao
;
Huan-Yi Shao
;
Chien-Jung Liao
;
Jeng-Shin Hsu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
inductors;
nanotechnology;
integrated circuit manufacture;
packaging;
onchip inductor;
pre-programmed multi-dipole cushioning;
spiral inductor;
nano technology;
proton bombardment treatment;
device isolation;
inductor Q-improvement;
integrated circuit wafer;
inductor EM wave;
27.
Risk management in semiconductor industry
机译:
半导体行业风险管理
作者:
Kochun Mou
;
Guang-Hann Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit manufacture;
risk management;
occupational safety;
risk management;
semiconductor industry;
risk control;
loss prevention;
industrial safety and health;
environmental protection;
28.
Considerations for cleanroom control in different climatic regions
机译:
不同气候区域洁净室控制的考虑因素
作者:
Chun-Hung Tsai
;
Kung-Yao Chung
;
Chun-Mine Wang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
clean rooms;
design engineering;
temperature control;
humidity control;
database management systems;
control engineering computing;
control system synthesis;
semiconductor device manufacture;
cleanroom control systems;
climatic regions;
make-up-air unit;
temperature control;
humidity control;
29.
Key factor for new technology transfer on the RD cycle-time system
机译:
R&D循环时间系统新技术转让的关键因素
作者:
Chen Yu-Chih
;
Young K.L.
;
Chou J.Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
technology transfer;
research and development;
process control;
semiconductor device manufacture;
technology transfer;
research and development;
cycle time management;
semiconductor manufacturing;
30.
Management considerations in choosing a chemical supply system
机译:
选择化学供应系统的管理考虑因素
作者:
Chun-Hung Tsai
;
Yu-Chung Lin
;
Chuen-Tun Hsu
;
Rong-Tian Cheng
;
Chung-Chieh Chang
;
Yung-Chao Lin
;
Po-Jen Chao
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
supply chain management;
cost-benefit analysis;
manufacturing systems;
chemical supply system;
semiconductor fabrication;
dilution system;
lorry supply system;
drum supply system;
31.
Optical maskless lithography for fast and low-cost design to wafer
机译:
用于快速和低成本设计的光纤光纤光刻到晶圆
作者:
Hsu L.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
photolithography;
integrated circuit design;
time to market;
cost reduction;
phase shifting masks;
imaging;
integrated circuit manufacture;
optical maskless lithography;
wafer design;
market pressures force design;
manufacturing community;
control cost;
time to market;
phase shifting;
32.
Alarm performance retention via Web-base diagnostic platform
机译:
通过网站基础诊断平台进行报警性能保留
作者:
Yi-Chun Chang
;
Ming-Wei Lee
;
Kewei Zuo
;
Tzxy-Chyi Wang
;
Huei-Shyang You
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
alarm systems;
design;
flowcharting;
Internet;
alarm performance retention;
Web-base diagnostic platform;
flowchart;
Internet service;
33.
Effective methodology for killer defect management in 300 mm DRAM fab
机译:
300 mm DRAM FAB中的杀手缺陷管理的有效方法
作者:
Lin L.
;
Chen J.Y.
;
Chou E.
;
Miura Y.
;
Chang S.
;
Chiu J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
production management;
inspection;
semiconductor device manufacture;
killer defect management;
real-time defect classification;
DRAM production line;
defect inspection;
process engineer;
integration engineer;
300 mm;
34.
Defect tool monitoring of process equipment by AIT fusion
机译:
AIT融合的过程设备缺陷工具监控
作者:
Lin L.
;
Kuo F.M.
;
Lee C.H.
;
Broughton C.
;
Yang R.
;
Liao J.
;
Wang J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
integrated circuit manufacture;
machine tools;
condition monitoring;
inspection;
process monitoring;
defect tool monitoring;
process equipment;
DRAM fab;
process tool productivity;
automatic defect classification;
35.
An effective recipe control and management system (RCMS) deployed in semiconductor manufacturing
机译:
半导体制造中部署的有效配方控制和管理系统(RCMS)
作者:
Shou-Chi Tseng
;
Shu-Li Chen
;
Jen-Kuan Chang
;
Chien-Chang Chen
;
Mei-Ling Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit manufacture;
semiconductor technology;
manufacturing processes;
production equipment;
production engineering computing;
process control;
recipe control;
management system;
semiconductor manufacturing technology;
wafer scrap;
recipe change co-sign system;
job-in-cancel function;
alarm disposition request;
advanced pre-checking scheme;
mass production;
post auditing report;
36.
Cutting for QFN packaging by diode pumping solid state laser system
机译:
二极管泵固态激光系统切割QFN包装
作者:
Chun-Hao Li
;
Ming-Jong Tsai
;
Chen R.
;
Chen-Hau Lee
;
Sheng-Wen Hong
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
integrated circuit manufacture;
printed circuit manufacture;
laser beam cutting;
integrated circuit packaging;
quad flat no-lead packaging;
diode pumping solid state laser system;
printed-circuit board;
mass production;
stress releasing problem;
laser cutting;
semiconductor industry;
37.
An optical interference model to analyze interferometry endpoint signal for process control of polysilicon gate etch
机译:
用于分析多晶硅栅蚀刻过程控制的干涉终点信号的光学干扰模型
作者:
Hsu L.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
light interference;
light interferometry;
atomic emission spectroscopy;
process control;
sputter etching;
optical interference model;
interferometry endpoint signal;
etching process control;
polysilicon gate etch;
plasma etch;
thin gate dielectric;
optical emission spectroscopy;
field oxide;
device wafer;
38.
Defect tool monitoring of process equipment by AIT fusion
机译:
AIT融合的过程设备缺陷工具监控
作者:
Lin L.
;
Kuo F.M.
;
Lee C.H.
;
Broughton C.
;
Yang R.
;
Liao J.
;
Wang J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
integrated circuit manufacture;
machine tools;
condition monitoring;
inspection;
process monitoring;
defect tool monitoring;
process equipment;
DRAM fab;
process tool productivity;
automatic defect classification;
39.
An efficient yield enhancement from inline defect control and in-situ advanced process control
机译:
从内联缺陷控制和原位高级过程控制的有效产量增强
作者:
Yi-Ko-Chen
;
Tso S.
;
Chung-I Chang
;
Tings Wang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
integrated circuit manufacture;
inspection;
process control;
process monitoring;
inline defect control;
in-situ advanced process control;
backend metal process;
DRAM manufacturing;
inspection tool;
killer defect;
defect sampling inspection;
nonsampled wafer;
sampling monitor;
40.
Optical maskless lithography for fast and low-cost design to wafer
机译:
用于快速和低成本设计的光纤光纤光刻到晶圆
作者:
Hsu L.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
photolithography;
integrated circuit design;
time to market;
cost reduction;
phase shifting masks;
imaging;
integrated circuit manufacture;
optical maskless lithography;
wafer design;
market pressures force design;
manufacturing community;
control cost;
time to market;
phase shifting;
41.
Process optimization by advanced process control with fault detection system for flash memory
机译:
用闪存故障检测系统进行高级过程控制处理优化
作者:
Tuung Luoh
;
Chang-Wei Liao
;
Li-Chung Yang
;
Ling-Wuu Yang
;
Chi-Tung Huang
;
Hsueh-Hao Shih
;
Kuang-Chao Chen
;
Chung H.
;
Ku J.
;
Chih-Yuan Lu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
flash memories;
plasma materials processing;
phosphosilicate glasses;
sputter etching;
process monitoring;
statistical process control;
fault detection system;
flash memory;
advanced process control;
process optimization;
fault classification system;
phosphosilicate glass;
real-time monitoring;
multivariate statistically calculation;
wafer acceptance test;
wafer sort yield;
42.
Machine grouping algorithm for stepper back-up and an empirical study
机译:
步进备用机器分组算法及实证研究
作者:
Chia-Yu Hsu
;
Chen-Fu Chien
;
Yung-Chen Tsao
;
Cheng-Yi Li
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
flexible manufacturing systems;
integrated circuit yield;
photolithography;
semiconductor device manufacture;
machine grouping algorithm;
stepper back-up;
operation cost;
lithographic system;
equipment fault;
photolithography;
semiconductor manufacturing;
43.
Using process experienced correlation table to improve the accuracy and reliability of data mining for yield improvement
机译:
使用过程经历的相关表来提高数据挖掘的准确性和可靠性,以获得屈服改进
作者:
Haw-Jyue Luo
;
Wang S.R.
;
Chen C.C.
;
Hung-En Tai
;
Chen-Fu Chien
;
Pei-Nong Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit yield;
correlation methods;
data mining;
data analysis;
statistical analysis;
correlation table;
data mining;
semiconductor industry;
wafer scrapping;
statistical analysis method;
44.
The outsourcing of SoC product development: Taiwan as the global center for SoC implementation
机译:
SoC产品的外包:台湾为全球SoC实施中心
作者:
Ding-Yuan Yang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
integrated circuit manufacture;
system-on-chip;
outsourcing;
computer peripheral equipment;
global IC industrial evolution;
Taiwan;
SoC implementation center;
SoC products;
PC manufacturing;
IC manufacturing;
outsourcing business;
system-on-chip;
integrated circuit manufacturing;
45.
Global CD uniformity improvement using dose modulation pattern correction of pattern density-dependent and position-dependent errors
机译:
使用剂量调制模式校正模式依赖性和位置依赖性误差的全局CD均匀性改善
作者:
Chia-Jen Chen
;
Hsin-Chang Lee
;
Lee-Chih Yeh
;
Kai-Chung Liu
;
Ta-Cheng Lien
;
Yi-Chun Chuo
;
Hung-Chang Hsieh
;
Lin B.J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
masks;
resists;
etching;
error correction;
integrated circuit manufacture;
position-dependent error;
pattern density-dependent error;
dose modulation pattern correction;
global CD uniformity;
e-beam writer;
macro loading effect;
pattern-density effects;
fagging effect;
resist developing;
resist baking;
wafer-imaging lens;
etch loading effect;
46.
An efficient yield enhancement from inline defect control and in-situ advanced process control
机译:
从内联缺陷控制和原位高级过程控制的有效产量增强
作者:
Yi-Ko-Chen
;
Tso S.
;
Chung-I Chang
;
Tings Wang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
integrated circuit manufacture;
inspection;
process control;
process monitoring;
inline defect control;
in-situ advanced process control;
backend metal process;
DRAM manufacturing;
inspection tool;
killer defect;
defect sampling inspection;
nonsampled wafer;
sampling monitor;
47.
Planning yields in recycling test wafers
机译:
策划在回收测试晶片中的产量
作者:
Muh-Cherng Wu
;
Chien C.S.
;
Lu K.S.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
planning;
integrated circuit testing;
integrated circuit yield;
recycling;
semiconductor device manufacture;
yield improvement;
test wafer recycle process;
decision problem;
48.
Thin relaxed SiGe layers for strained Si CMOS
机译:
紧张的Si CMOS薄宽松的SiGe层
作者:
Chen P.S.
;
Lee S.W.
;
Lee M.H.
;
Liu C.W.
;
Tsai M.-J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor materials;
Ge-Si alloys;
integrated circuit manufacture;
CMOS integrated circuits;
MOSFET;
buffer layers;
nanostructured materials;
CMOS;
nanostructure buffers;
mobility enhancement;
n-MOSFET;
lattice mismatch;
complementary metal-oxide-semiconductor;
metal-oxide-semiconductor field effect transistor;
SiGe;
49.
Feasibility of measuring four profile parameters for metal-0 trench of DRAM by spectroscopic ellipsometry based profile technology
机译:
基于光谱椭圆形型谱技术测量DRAM金属-0沟槽的四种轮廓参数的可行性
作者:
Liou R.
;
Cheng T.
;
Chung-I Chang
;
Tings Wang
;
Fu S.
;
Dziura T.G.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
DRAM chips;
integrated circuit measurement;
integrated circuit testing;
ellipsometry;
thin films;
thickness measurement;
metal-0 trench;
DRAM chips;
spectroscopic ellipsometry;
profile technology;
nondestructive profile information;
thin film measurement;
50.
A study of the fabrication of flip-chip bumps using dry-film photoresist process on 300 mm wafer
机译:
300mm晶片上使用干膜光致抗蚀剂处理的倒装芯片凸块的制造研究
作者:
Zhi-Ting Ke
;
Cheng-Shih Lee
;
Keng-Huei Shen
;
Chang E.Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
photoresists;
semiconductor technology;
sputtering;
integrated circuit metallisation;
electroplating;
titanium;
copper;
reflow soldering;
integrated circuit manufacture;
flip-chip devices;
dry-film photoresist process;
flip-chip bumps;
wafers;
double-deck metal seed layer process;
welding;
integrated circuits;
lithography technology;
metal sputtering technology;
metal electroplating technology;
300 mm;
1000 A;
5000 A;
220 C;
Ti;
Cu;
51.
Developing statistical models in an early warning system and its empirical study
机译:
在预警系统中开发统计模型及其实证研究
作者:
Pei-Nong Chen
;
Chen-Fu Chien
;
Sheng-Jen Wang
;
Chien-Chung Chen
;
Haw-Jyue Luo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
process monitoring;
preventive maintenance;
DRAM chips;
integrated circuit manufacture;
production equipment;
alarm systems;
statistical analysis;
developing statistical model;
early warning system;
process monitoring;
DRAM fabrication facility;
semiconductor manufacturing;
52.
Selectivity investigation of HfO/sub 2/ to oxide using wet etching
机译:
使用湿法蚀刻的HFO / sub 2 /氧化物的选择性研究
作者:
Tsung-Kuei Kang
;
Chih-Cheng Wang
;
Bing-Yue Tsui
;
Yuan-Hsin Li
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
etching;
chemical vapour deposition;
hafnium compounds;
dielectric thin films;
semiconductor technology;
annealing;
semiconductor device manufacture;
wet etching;
CVD oxide films;
oxide etching selectivity;
HfO/sub 2/;
53.
Test wafer control system in 300 mm FAB
机译:
测试晶片控制系统300 mm fab
作者:
Jer-Wei Hsu
;
Hsi-Lo Lo
;
Cheng-Chung Pan
;
Yi-Ming Chen
;
Teng-Ko Hsieh
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
process control;
integrated circuit testing;
stability;
quality management;
process monitoring;
semiconductor device manufacture;
costing;
test wafer control system;
wafer fabrication;
equipment stability;
product quality;
FAB productivity;
wafer cost;
300 mm;
54.
On achieving large inductances for small on-chip inductors through providing pre-programmed multi-dipole cushioning for the spiral inductors via nano technology
机译:
通过为螺旋电感器提供预先编程的多偶极电感来实现小型片式电感器的大电感
作者:
Chungpin Liao
;
Huan-Yi Shao
;
Chien-Jung Liao
;
Jeng-Shin Hsu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
inductors;
nanotechnology;
integrated circuit manufacture;
packaging;
onchip inductor;
pre-programmed multi-dipole cushioning;
spiral inductor;
nano technology;
proton bombardment treatment;
device isolation;
inductor Q-improvement;
integrated circuit wafer;
inductor EM wave;
55.
Alarm performance retention via Web-base diagnostic platform
机译:
通过网站基础诊断平台进行报警性能保留
作者:
Yi-Chun Chang
;
Ming-Wei Lee
;
Kewei Zuo
;
Tzxy-Chyi Wang
;
Huei-Shyang You
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
alarm systems;
design;
flowcharting;
Internet;
alarm performance retention;
Web-base diagnostic platform;
flowchart;
Internet service;
56.
An effective one-trap-level CAD model for the general SOC integration platform - particle-beam stand (PBS) - when modeling proton-caused local semi-insulating regions
机译:
一般SOC集成平台 - 粒子梁支架(PBS)的有效的一陷性CAD模型 - 在造型质子导致的局部半绝缘区域时
作者:
Chungpin Liao
;
Duh T.S.
;
Yang T.N.
;
Lan S.M.
;
Liu C.W.
;
Yang T.T.
;
Hsu J.S.
;
Shao H.Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
CAD;
proton effects;
electron traps;
silicon;
elemental semiconductors;
radiation hardening (electronics);
system-on-chip;
integrated circuit manufacture;
band structure;
computer-aided design model;
system-on-chip integration;
particle-beam stand;
proton-caused local semi-insulating region;
particle-enhanced isolation;
proton beam;
integrated circuit wafer;
substrate coupling;
energy band-gap;
57.
Global CD uniformity improvement for CAR masks by adaptive post-exposure bake with CD measurement feedback
机译:
通过CD测量反馈的自适应曝光烘焙汽车面罩的全局CD均匀性改善
作者:
Hsin-Chang Lee
;
Chia-Jen Chen
;
Hung-Chang Hsieh
;
Berger L.
;
Saule W.
;
Dress P.
;
Gairing T.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
heat treatment;
lithography;
masks;
semiconductor technology;
resists;
semiconductor device manufacture;
CAR masks;
adaptive post-exposure bake;
CD measurement feedback;
lithography;
binary masks;
chemically amplified resists;
mask making;
global CD error;
APB5500 bake system;
chrome layer;
after strip inspection;
65 nm;
4.2 nm;
4.8 nm;
3.9 nm;
58.
Wafer by wafer control in CMP system with metrology delay
机译:
晶圆通过Metrology Delay的CMP系统中的晶片控制
作者:
Gow-Bin Wang
;
E-Hon Lin
;
Huei-Shyang You
;
Ming-Wei Lee
;
Fu-Kuan Hsiao
;
Chih-Wei Lai
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
chemical mechanical polishing;
isolation technology;
process control;
process capability analysis;
semiconductor device manufacture;
chemical mechanical planarization;
semiconductor manufacturing;
trench isolation technique;
run-to-run control scheme;
process capability index;
59.
Just-in-time AMHS delivery for 300 mm FAB
机译:
即时amhs交付300 mm fab
作者:
Chang J.
;
Yung Cheng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
goods distribution;
materials handling;
just-in-time;
investment;
semiconductor technology;
semiconductor device manufacture;
300 mm FAB transportation automation;
return of investment;
300 mm FAB automation operation productivity;
AMHS matrix;
just-in-time integrated system design;
automated material handling system;
300 mm;
8 min;
15 min;
60.
Using process experienced correlation table to improve the accuracy and reliability of data mining for yield improvement
机译:
使用过程经历的相关表来提高数据挖掘的准确性和可靠性,以获得屈服改进
作者:
Haw-Jyue Luo
;
Wang S.R.
;
Chen C.C.
;
Hung-En Tai
;
Chen-Fu Chien
;
Pei-Nong Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
semiconductor device manufacture;
integrated circuit yield;
correlation methods;
data mining;
data analysis;
statistical analysis;
correlation table;
data mining;
semiconductor industry;
wafer scrapping;
statistical analysis method;
61.
Global CD uniformity improvement using dose modulation pattern correction of pattern density-dependent and position-dependent errors
机译:
使用剂量调制模式校正模式依赖性和位置依赖性误差的全局CD均匀性改善
作者:
Chia-Jen Chen
;
Hsin-Chang Lee
;
Lee-Chih Yeh
;
Kai-Chung Liu
;
Ta-Cheng Lien
;
Yi-Chun Chuo
;
Hung-Chang Hsieh
;
Lin B.J.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
masks;
resists;
etching;
error correction;
integrated circuit manufacture;
position-dependent error;
pattern density-dependent error;
dose modulation pattern correction;
global CD uniformity;
e-beam writer;
macro loading effect;
pattern-density effects;
fagging effect;
resist developing;
resist baking;
wafer-imaging lens;
etch loading effect;
62.
A study of the fabrication of flip-chip bumps using dry-film photoresist process on 300 mm wafer
机译:
300mm晶片上使用干膜光致抗蚀剂处理的倒装芯片凸块的制造研究
作者:
Zhi-Ting Ke
;
Cheng-Shih Lee
;
Keng-Huei Shen
;
Chang E.Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
photoresists;
semiconductor technology;
sputtering;
integrated circuit metallisation;
electroplating;
titanium;
copper;
reflow soldering;
integrated circuit manufacture;
flip-chip devices;
dry-film photoresist process;
flip-chip bumps;
wafers;
double-deck metal seed layer process;
welding;
integrated circuits;
lithography technology;
metal sputtering technology;
metal electroplating technology;
300 mm;
1000 A;
5000 A;
220 C;
Ti;
Cu;
63.
In-line inspection on thickness of sputtered HfO/sub 2/ and Hf metal ultra-thin films by spectroscopic ellipsometry
机译:
通过光谱椭圆形测量溅射HFO /亚2 /和HF金属超薄膜厚度的在线检查
作者:
Chuo Y.
;
Shu D.Y.
;
Lee L.S.
;
Hsieh W.Y.
;
Tsai M.J.
;
Wang A.
;
Hung S.B.
;
Tzeng P.J.
;
Chou Y.W.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
hafnium compounds;
dielectric thin films;
metallic thin films;
ellipsometry;
nondestructive testing;
thickness measurement;
sputter deposition;
inspection;
semiconductor device manufacture;
thickness in-line inspection;
spectroscopic ellipsometry;
Hf metal ultra-thin film;
MOS gate dielectric;
deposition process;
nondestructive optical method;
wafer mapping measurement;
40 A;
HfO/sub 2/;
Hf;
64.
Inter-firm collaboration mechanism in process development and product design between foundry and fabless design house
机译:
铸造与无晶圆厂设计房屋工艺开发和产品设计中的公司间协作机制
作者:
Yea-Huey Su
;
Ruey-Shan Guo
;
Shi-Chung Chang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
foundries;
product design;
product development;
integrated circuit design;
integrated circuit manufacture;
foundry;
fabless design house;
product design;
process development;
interfirm collaboration mechanism;
IC design;
integrated circuit manufacturing;
process technology;
product design tuning;
process tuning;
problem solving;
65.
Strategy and benefit analysis of water saving in 8' semiconductor fab
机译:
8“半导体工厂节水的战略与效益分析
作者:
Liao C.-Z.
;
Chang Y.-F.
;
Yang D.
;
Jiang B.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
water conservation;
industrial plants;
semiconductor device manufacture;
waste recovery;
wastewater treatment;
water saving;
semiconductor fab;
semiconductor plant;
UMC-8E plant;
POU demand;
environmental impact;
recovery system;
waste water;
8 in;
66.
Strategy and benefit analysis of water saving in 8' semiconductor fab
机译:
8“半导体工厂节水的战略与效益分析
作者:
Liao C.-Z.
;
Chang Y.-F.
;
Yang D.
;
Jiang B.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2004年
关键词:
water conservation;
industrial plants;
semiconductor device manufacture;
waste recovery;
wastewater treatment;
water saving;
semiconductor fab;
semiconductor plant;
UMC-8E plant;
POU demand;
environmental impact;
recovery system;
waste water;
8 in;
67.
Using simulation tool as a decision-making support system to do PM3 plan in FAB manufacturing
机译:
使用仿真工具作为决策支持系统,在FAB制造中执行PM3计划
作者:
Hsuan-Wei Chang
;
Zanne Su
;
Olay Yu
;
Y. L. Whang
;
Ying-Jen Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
Simulation;
Scheduling;
Dispatching;
Cost reduction;
68.
Study of photo resist-free process for shallow trench isolation etch on advance VLSI technology
机译:
预先VLSI技术浅沟槽隔离蚀刻光抗蚀剂的研究
作者:
Chia-Tzong Tso
;
Mei-Ho Ko
;
Chuan-Chieh Huang
;
So-Wen Kuo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
photoresists;
optimisation;
semiconductor technology;
isolation technology;
VLSI;
sputter etching;
plasma chemistry;
photoresist-free process;
shallow trench isolation etch;
VLSI;
photoresist tilting defects;
high density plasma etch;
gas chemistry;
devi;
69.
Direct labor headcount model study for semiconductor fab operation - modular design concept approach
机译:
半导体FAB运行的直接劳动人头模型研究 - 模块化设计概念方法
作者:
Jason Huang
;
Hsin-Chen Wu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
70.
A study on feasibility of capacity option issuing circulating for semiconductor foundry industry
机译:
半导体铸造产业能力选项发布与流通的可行性研究
作者:
Ming-Guan Huang
;
Kenjil Cheng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
71.
Integration HDP CVD oxide sputtering effect for metal void defect solution
机译:
集成HDP CVD氧化物溅射溅射效果金属空隙缺陷溶液
作者:
Chun-Sheng Lin
;
Jui-Hei Huang
;
Sian-Ren Hong
;
CHi-Shen Lo
;
Long-Siang CHuang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
72.
Parameter identification for model-based advanced process control of diffusion furnaces
机译:
扩散炉的模型的高级过程控制参数识别
作者:
K. Hui
;
C. S. Lu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
73.
Study of wafer arcing on oxide etching process for advance VLSI technology
机译:
晶圆弧形在氧化物蚀刻过程中提前VLSI技术的研究
作者:
Ming-Shiung Fu
;
Ming-Chyi Liu
;
Ming-Shyue Hsieh
;
Chuan-Chieh Huang
;
Shou-Wen Kuo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
74.
Scrap rules for small lots in wafer fabrication
机译:
晶圆制造中的小型批次的废除规则
作者:
Muh-Cherng Wu
;
Chie-Wun Chiou
;
Hsi-Mei Hsu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
75.
Using knowledge management method to improve machine automation system in IC fab
机译:
利用知识管理方法改进IC工厂机器自动化系统
作者:
Richard Yu
;
Noah Chiou
;
P. W. Kuo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
Automation;
Knowledge management;
76.
Mechanism study and solution to photo resist poor coating induced by implant process
机译:
植入工艺诱导光抗涂层的机制研究与解决方案
作者:
Chih-Chi Chen
;
Mei-Yun Yeh
;
King-Hung Lee
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
77.
Using natural statistics concept to develop a capacity model that can solve tool-operation multi-to-multi relation of IC FAB
机译:
使用自然统计概念来开发一个能够解决IC Fab的工具操作的容量模型
作者:
Li-Jen Ko
;
Ivan Wang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
Dispatching;
Capacity model;
Tool loading;
Semiconductor manufacturing;
78.
Multicriteria dynamic dispatching schema for balancing the load on lithographic tools in wafer fabrication
机译:
用于平衡晶片制造中平版印刷工具负荷的多铁架动态调度架构
作者:
Chen-Chung Pan
;
Hsi-Lo Lo
;
Chuan-Chung Chang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
integrated circuit manufacture;
resource allocation;
production control;
VLSI;
lithography;
wafer-scale integration;
productivity;
dynamic dispatching;
lithographic tools;
wafer fabrication;
critical dimension;
scanner dedication;
loading balance;
VLSI p;
79.
Study of photo resist - process for shallow trench isolation etch on advance VLSI technology
机译:
光电抗蚀剂的研究预先VLSI技术的浅沟隔离蚀刻
作者:
Chia-Tzong Tso
;
Mei-Ho Ko
;
Chuan-Chieh Huang
;
So-Wen Kuo
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
80.
Complete monitoring and matching strategy for multiple CD SEMs in advanced fab
机译:
在高级工厂中的多CD SEM完成监控和匹配策略
作者:
Pey-Yuan Lee
;
Chi-Shen Lo
;
Steven Fu
;
Mico Chu
;
Jason Yee
;
Yi-Hung Chen
;
Thomas Teng
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
CD SEM;
CD matching;
Precision;
Carryover;
81.
Factory automation migration experience for foundry fab from 200mm to 300mm
机译:
工厂自动化铸造工厂的迁移经验从200mm到300毫米
作者:
L. R. Lin
;
H. L. Chu
;
C. H. Hung
;
Y. C. Lee
;
P. C. Yeh
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
82.
Multi criteria dynamic dispatching schema for balancing the load on lithographical tools in wafer fabrication
机译:
用于平衡晶片制造中的光刻工具负载的多标准动态调度模式
作者:
Chen-Chung Pan
;
Hsi-Lo Lo
;
Chuan-Chung Chang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
83.
Private marketplace service offering: one-stop shopping for customers
机译:
私人市场服务提供:为客户购物一站式购物
作者:
Allen J. Delattre
;
Ken Chieh
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
84.
A new approach to improve transporting efficiency of AMHS
机译:
一种提高AMHS运输效率的新方法
作者:
Dong-Yeh Jan
;
Chen-Chung Yu
;
Yeaun-Jyh Su
;
Jorsun Yu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
85.
Total solution of line CD measurement quality control and application of statistical methods for CDSEM line CD measurement stability
机译:
线CD测量质量控制的总解决方案和CDSEM线CD测量稳定性统计方法的应用
作者:
Pey-Yuan Lee
;
Chi-Shen Lo
;
Yi-Hung Chen
;
Hong-Ji Yang
;
Thomas Teng
;
Steven Fu
;
Mico Chu
;
Jason Yee
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
86.
High throughput UHV/CVD SiGe and SiGe:C process for SiGe HBT and strained Si FET
机译:
高吞吐量UV / CVD说明:C处理HBT和紧张的SI FET
作者:
P. S. Chen
;
Y. T. Tseng
;
M. -J. Tsai
;
C. W. Liu
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
87.
The research and design of the knowledge management framework - a case study of MXIC OTRB/IE community
机译:
知识管理框架的研究与设计 - 以物体OTRB / IE社区为例
作者:
C. C. Feng
;
Mico Peng
;
Henry Hsiao
;
Simon Jou
;
Mike Lin
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
Knowledge management;
Knowledge framework;
Knowledge map;
88.
Resource management by variance analysis of capacity costing
机译:
通过差异分析容量成本的资源管理
作者:
Tsung-Yu Yang
;
Felicia Chen
;
Jacky Huang
;
Michelle Yang
;
Simon Jou
;
Mike Lin
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
关键词:
Variance analysis;
Capacity costing;
Cost management;
89.
Sorter automatic operations in a 300mm fab
机译:
300mm Fab中的分拣机自动操作
作者:
Yu-Chih Wang
;
Dan Ho
;
Chung-Sheng Wu
;
Larry Jann
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
90.
Analysis of laser metal cut energy process window and improvement of Cu link process by unique fast rise time laser pulse
机译:
激光金属切割能源工艺窗口分析及独特快速上升时间激光脉冲改进Cu Link工艺
作者:
Joohan Lee
;
Joseph J. Griffiths
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
91.
The improvement of automate material handling system traffic control
机译:
改进自动化材料处理系统流量控制
作者:
Jeffrey Wang
;
Jack Liu
;
Carl Huang
;
Ct Wu
;
Cynthia Chueh
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
92.
Process optimization and productivity improvement by real-time data collection system
机译:
实时数据收集系统处理优化和生产率提高
作者:
Tuung Luoh
;
Ling-Wuu Yang
;
Hsueh-Hao Shih
;
Chi-Tung Huang
;
Kuang-Chao Chen
;
Yaw-Lin Hwang
;
Calvin Hsueh
;
Henry Chung
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
93.
Control of arcing problem in the passivation HDP deposition process solution
机译:
控制钝化HDP沉积过程解决方案的电弧问题
作者:
Chun-Sheng Lin
;
Jun-Jie Huang
;
Chi-Shen Lo
;
Long-Siang Chuang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
94.
Implementation of Taiwan's semiconductor equipment evaluation and qualification (SEEQ) project
机译:
台湾半导体设备评估和资格(SEEQ)项目的实施
作者:
Wang J. C.
;
Ke K. H.
;
Wang P. Y.
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
95.
A study of sub-atmospheric chemical vapor deposition (SACVD) O3-TEOS UGS, BPSG, PSG, and plasma enhanced chemical vapor deposition (PECVD) PSG film as sacrificial layers in a micro-fluid dispenser device
机译:
亚常压化学气相沉积(SACVD)O3-TEOS UG,BPSG,PSG和等离子体增强的化学气相沉积(PECVD)PSG薄膜作为微流体分配装置中的牺牲层
作者:
Ming-Tung Lee
;
Peter Huang
;
May Chang
;
Yi-Yueh Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
96.
TSMC turnkey data mart
机译:
台积电交钥匙数据集市
作者:
Dennys Sung-Ting Hsieh
;
Eric Cheng-Chin Feng
;
Wei-Ling Liu
;
I-Chieh Chung
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
97.
Standard free determination of trace metals in semiconductor chemicals by ICP-MS
机译:
ICP-MS标准自由测定半导体化学品中的痕量金属
作者:
Y. P. Lin
;
S. H. Liu
;
W. S. Lai
;
S. L. Chen
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
98.
The tool efficiency monitor system creation of furnace area in semiconductor manufacturing
机译:
半导体制造中炉区锻炼效率监测系统
作者:
Noah Chiou
;
Ivan Wang
;
Jerry Chang
;
Topas Chang
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
99.
Cost reduction and yield improvement by removing postash polymer residue from BEOL structures using inorganic chemicals
机译:
通过无机化学物质从BEOL结构中除去乳房聚合物残留物的成本降低和产量改善
作者:
Christian Haigermoser
;
Sally-Ann Henry
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
100.
Technology transfer process re-engineering in semiconductor industry
机译:
半导体工业技术转让过程重新设计
作者:
Jacky Kuo
;
Albert Chang
;
K. P. Lee
;
C. M. Yang
;
M. Y. Chung
会议名称:
《Semiconductor Manufacturing Technology Workshop》
|
2002年
意见反馈
回到顶部
回到首页