掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US
Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
飞通光电子技术
半导体光子学与技术(英文版)
电子元件与材料
光电技术应用
现代信息科技
无线电技术(上海)
集成电路通讯
洗净技术
高保真音响
信息通信技术
更多>>
相关外文期刊
NTT Review
放送技術
Proceedings of the IEE - Part I: General
Journal of visual communication & image representation
Electronic Engineering Times
Vision Systems Design
Microelectronics & Reliability
Communication Law and Policy
Electronics world
Radio Engineers, Proceedings of the Indian Division of the British Institution of
更多>>
相关中文会议
第八届京、津、沪、渝有线电视技术研讨会暨第八届全国城市有线电视技术研讨会(JJHY·2010 NCCTV·2010)
北京通信学会2012信息通信网技术业务发展研讨会
中国电子学会敏感技术分会电压敏专业学部第十七届学术年会
2013年全国微波毫米波会议
中国电子学会电路与系统学会第十六届年会
第五届全国“信号与信息处理”联合学术会议暨陕西省生物医学工程学会二〇〇六年学术年会
2003年全国电子电镀学术研讨会
中国通信学会信息通信网络技术委员会2013年年会
2011年机械电子学学术会议
第六届中国密码学学术会议
更多>>
相关外文会议
Symposium on avoiding, detecting & preventing counterfeit electronic parts
Multimedia communications
2013 IEEE 7th International Symposium on Embedded Multicore Socs
2017 International Conference on Recent Trends in Electrical, Electronics and Computing Technologies
Structural information and communication complexity
Conference on Signal and Data Processing of Small Targets 2003; Aug 5-7, 2003; San Diego, California, USA
LED and display technologies
2014 7th ESA Workshop on Satellite Navigation Technologies and European Workshop on GNSS Signals and Signal Processing
Conference on Digital Wireless Communications VI; 20040412-20040413; Orlando,FL; US
Teletraffic Congress, 2009. ITC 21 2009
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Sources of Line Width Roughness for EUV Resists
机译:
EUV抗蚀剂的线宽粗糙度来源
作者:
Heidi Cao
;
Wang Yueh
;
Bryan Rice
;
Jeanette Roberts
;
Terence Bacuita
;
Manish Chandhok
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
2.
Thin Film and High Etch Rate Type 248nm Bottom Anti-Reflective Coatings
机译:
薄膜和高蚀刻速率类型248nm底部抗反射涂层
作者:
Tomoyuki Enomoto
;
Satoshi Takei
;
Takahiro Kishioka
;
Tadashi Hatanaka
;
Rikimaru Sakamoto
;
Yasuyuki Nakajima
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
organic BARC;
thin film;
high etch rate;
NCA3000 series;
3.
Behavior of chemically amplified resist defects in TMAH solution (3)
机译:
在TMAH溶液中化学放大的抗蚀剂缺陷的行为(3)
作者:
Yuko Ono
;
Takeshi Shimoaoki
;
Ryouichiro Naito
;
Junichi Kitano
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
defect;
chemically amplified resist;
TMAH;
4.
Application of New Thin BARC Technology for KrF Lithography at 80nm Node Device
机译:
新型薄BARC技术在80nm节点器件上进行KrF光刻的应用
作者:
Myoung-Soo Kim
;
Kew-Chan Shim
;
Hak-Joon Kim
;
Ki-Sung Kwon
;
Hong-Goo Lee
;
Chul-Seung Lee
;
Myung-Goon Gil
;
Yong-Wook Song
会议名称:
《》
|
2004年
关键词:
thin organic BARC;
KrF resist;
80nm node;
patterning;
5.
Airborne Contamination Control for 157-nm Lithography -Influence of Ammonia Contamination-
机译:
157 nm光刻的机载污染控制-氨污染的影响-
作者:
Hidefumi Matsui
;
Junichi Kitano
;
Kousuke Yoshihara
;
Etsurou Kawaguchi
;
Takamitsu Furukawa
;
Kentaro Matsunaga
;
Toshiro Itani
;
Kiyoshi Fujii
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
157-nm resist;
ammonia durability;
post exposure delay;
post coating delay;
PAG;
quencher;
adsorption;
6.
A Planarization Process for Multi-Layer Lithography Applications
机译:
多层光刻应用的平面化过程
作者:
Wu-Sheng Shin
;
Charles J. Neef
;
Mark G. Daffron
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
planarization;
contact planarization;
bilayer;
trilayer;
gap fill;
dual damascene;
anti-reflective coating;
BARC;
7.
Inorganic Bi/In Thermal Resist as a High Etch Ratio Patterning Layer for CFI_4/CHF_3/O_2 Plasma Etch
机译:
无机Bi / In热抗蚀剂作为CFI_4 / CHF_3 / O_2等离子蚀刻的高蚀刻率图案化层
作者:
Yuqiang Tu
;
Glenn Chapman
;
Jun Peng
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
inorganic photoresist;
thermal resist;
anisotropic etch;
plasma etch;
etch mask;
8.
Material Origins of Line-Edge Roughness: Monte-Carlo Simulations and Scaling Analysis
机译:
线边缘粗糙度的材料起源:蒙特卡洛模拟和缩放分析
作者:
G. P. Patsis
;
V. Constantoudis
;
E. Gogolides
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
9.
Integration using inorganic BARC in a via first dual-damascene process with low-k dielectric
机译:
在第一个具有低k介电常数的双镶嵌工艺中使用无机BARC进行集成
作者:
Jun Kyu Ahn
;
Seon Ho Choi
;
Young Keun Kim
;
Ki Yeop Park
;
Jae Sung Choi
;
Eun Suk Hong
;
Kang Sup Shin
;
Si Bum Kim
;
Kyeong Keun Choi
;
Sung Bo Hwang
;
Jeong Gun Lee
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
copper;
dual damascene;
inorganic BARC;
low-k dielectric;
10.
Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography
机译:
在0.30-k1 ArF光刻中减少图案塌陷的新型冲洗工艺
作者:
Geunsu Lee
;
Young-Sun Hwang
;
Keun-Do Ban
;
Cheolkyu Bok
;
Seung-Chan Moon
;
Ki-Soo Shin
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
11.
Optimized acid release underlayers for 157 nm Lithography
机译:
用于157 nm光刻的最佳酸释放底层
作者:
C. E. Larson
;
G M. Wallraff
;
L. Johnson
;
P. Brock
;
L. Sundberg
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
chemically amplified resist;
resist footing;
high absorbance;
12.
Novel Transparent PAGs for 193nm Resists
机译:
适用于193 nm抗蚀剂的新型透明BAG
作者:
Kunihiko Kodama
;
Kenichiro Sato
;
Shiro Tan
;
Fumiyuki Nishiyama
;
Tsukasa Yamanaka
;
Shinichi Kanna
;
Hyou Takahashi
;
Yasumasa Kawabe
;
Makoto Momota
;
Tadayoshi Kokubo
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
chemically amplified resist;
193nm;
photo acid generator;
sulfonium salt;
line edge roughness;
13.
Necessity of Chemical Edge Bead Removal in Modern Day Lithographic Processing
机译:
现代光刻技术中去除化学边缘珠粒的必要性
作者:
Igor Jekauc
;
Michael Watt
;
Trip Hornsmith
;
Jason Tiffany
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
resist;
arc;
edge bead;
chemical EBR;
optical WEE;
yield;
splashing;
defectivity;
14.
Short Develop Time Process with Novel Develop Application System
机译:
新颖的开发应用系统可缩短开发时间
作者:
Masakazu Sanada
;
Osamu Tamada
;
Masahiko Harumoto
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
short develop time;
develop rate;
process latitude;
γ characteristics of resist;
thickness loss;
roughness;
15.
Simulation technique for the PR flow process using a new viscous flow model
机译:
使用新的粘性流模型的PR流过程的仿真技术
作者:
Won-Young Chung
;
Tai-Kyung Kim
;
Jin-Young Yoon
;
Hyun-Woo Kim
;
Young-Kwan Park
;
Jeong-Taek Kong
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
PR flow;
viscous flow model;
simulation;
contact patterning;
16.
Improvement of pattern collapse issue by additive added D.I water rinse process 2
机译:
通过添加添加剂的D.I水冲洗工艺2改善图案塌陷问题
作者:
Osamu Miyahara
;
Keiichi Tanaka
;
Shinya Wakamizu
;
Junichi Kitano
;
Yoshiaki Yamada
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
pattern collapse;
surfactant;
FIRM;
capillary control;
swelling;
17.
New BARC Materials for the 65-nm Node in 193-nm Lithography
机译:
193 nm光刻中用于65 nm节点的新型BARC材料
作者:
Charles J. Neef
;
Vandana Krishnamurthy
;
Mariya Nagatkina
;
Evan Bryant
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
18.
Influence of Backbone Chemistry on the Post-Exposure Bake Temperature Sensitivity of 193nm Photoresists
机译:
骨化学对193nm光刻胶曝光后烘烤温度敏感性的影响
作者:
Young C. Bae
;
Teruaki Ogawa
;
Robert J. Kavanagh
;
Tatum Kobayashi
;
Tracy Lindsay
;
Tsutomu Tanaka
;
Cheng Bai Xu
;
George Orsula
;
Jason DeSisto
;
Marie Hellion
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
19.
High etch rate ArF BARC composed of polyester
机译:
高蚀刻速率,由聚酯制成的ArF BARC
作者:
Young Sun Hwang
;
Jae Chang Jung
;
Keun Do Ban
;
Sarohan Park
;
Cheolkyu Bok
;
Seung Chan Moon
;
Ki Soo Shin
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
BARC;
polyester;
polyacetal;
polyacrylate;
ArF lithography;
high etch rate;
conformality;
20.
Realization of sub-80 nm Small Space Patterning in ArF Photolithography
机译:
ArF光刻中低于80 nm的小空间图案化的实现
作者:
Si-Hyun Kim
;
Hyung-Do Kim
;
Si-Hyeung Lee
;
Chang-Min Park
;
Man-Hyoung Ryoo
;
Gi-Sung Yeo
;
Jung-Hyeon Lee
;
Han-Ku Cho
;
Woo-Sung Han
;
Joo-Tae Moon
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
resist thermal flow process;
small space patterning;
RELACS;
SAFIER;
21.
Rapid stripping of thick negative-tone acrylic photoresists for semiconductor BEOL applications
机译:
快速剥离厚厚的负型丙烯酸光致抗蚀剂,用于半导体BEOL应用
作者:
John C. Moore
;
Bruce J. Fender
;
Eric C. Huenger
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
WLP;
BEOL;
bumping;
UBM;
FCI;
thick resist;
22.
Photosensitive co-Polycarbonates for Use as Sacrificial Materials in the Fabrication of Microfluidic and Microelectromechanical Devices
机译:
光敏共聚碳酸酯,用作微流控和微机电装置制造中的牺牲材料
作者:
Celesta E. White
;
Clifford L. Henderson
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
polycarbonate;
sacrificial material;
photosensitive;
photoacid generator;
23.
Performance of SSQ type ArF Bi-Layer Resist in 80-nm Node DRAM Line and Space Fabrication
机译:
SSQ型ArF双层电阻在80 nm节点DRAM线和空间制造中的性能
作者:
Myoung-Ho Jung
;
Hyun-Woo Kim
;
J. Hong
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
Bi-layer;
ArF lithography;
silsesquioxane;
SSQ;
24.
Nano Patterning on fragile or 3D surfaces with sterol based vapour deposited electron beam resist
机译:
使用基于固醇的气相沉积电子束抗蚀剂在易碎或3D表面上进行纳米图案化
作者:
R. Legario
;
P.S.Kelkar
;
J.Beauvais
;
E.Lavallee
;
D. Drouin
;
M. Cloutier
;
D. Turcotte
;
Pan Yang
;
Lau Kien Mun
;
Y. Awad
;
P. Lafrance
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
dry resist;
electron beam lithography;
sterol;
fresnel lens;
semi-conductor laser facet;
X-ray masks;
25.
Simulation of Energy Deposition for Scattering Electrons in Resist layer
机译:
电阻层中电子散射的能量沉积模拟
作者:
Yousong Tao
;
Yulin Zhang
;
Dayao Li
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
scattering electrons;
electron beam lithography;
proximity effects;
energy deposition;
simulate;
26.
The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists
机译:
在冲洗液中使用表面活性剂可改善化学放大的光致抗蚀剂的崩塌行为
作者:
Ivan Junarsa
;
Mark P. Stoykovich
;
Kenji Yoshimoto
;
Paul F. Nealey
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
pattern collapse;
surfactant;
collapse modeling;
27.
Wet-recess Process Optimization of a Bottom Antireflective Coating for the Via First Dual Damascene Scheme
机译:
通过第一个双镶嵌方案的底部减反射涂层的湿式工艺优化
作者:
Nickolas L. Brakensiek
;
Brian Kidd
;
Carlton Washburn
;
Earnie Murphy
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
DUV;
photolithography;
bottom anti-reflective coating;
BARC;
via fill;
gap-fill;
via-first;
dual damascene;
28.
High temperature negative resist tunable for new lift off applications
机译:
高温负性抗蚀剂可调,适用于新的剥离应用
作者:
Medhat A. Toukhy
;
PingHung Lu
;
Kate Kao
;
Robert Plass
;
Ching-Hui Chen
;
Gerald L. Faerber
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
29.
Fast resist modeling and its application in 193nm lithography
机译:
快速抗蚀剂建模及其在193nm光刻中的应用
作者:
Lei Yuan
;
Andrew Neureuther
;
Ebo Croffie
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
resist threshold model;
critical dimension;
193nm lithography;
30.
Explanation of LER using the Concept of Gel Layer in Chemically Amplified Photoresists
机译:
在化学放大的光刻胶中使用凝胶层的概念对LER进行解释
作者:
Joon Yeon Cho
;
Se Jin Choi
;
Yong Jun Choi
;
Hong Lae Kim
;
Kee Ho Kim
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
LER;
sub-100nm features;
random detachment;
gel layer;
hydrophilicity;
31.
Electron-beam assisted resist sidewall angle control and its applications
机译:
电子束辅助抗蚀剂侧壁角度控制及其应用
作者:
Jei-Wei Chang
;
Chao-Peng Chen
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
electron-beam lithography;
bilayer lift-off;
resist sidewall angle control;
chemically amplified resists;
sub-100 nm;
monte-carlo simulations;
32.
Effect of PEB Exhaust on Resist CD for DUV Process
机译:
PEB排放对DUV工艺抗CD的影响
作者:
Shu-Fen Tsai
;
Yuh-Shyang Chiu
;
Chih-Horng Chien
;
Hann-Yii Gao
;
Chin-Yu Ku
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
CD;
PEB;
exhaust;
DUV;
33.
Effect of Photoacid Generator Additives on the Dissolution Behavior of Bis-Trifluoromethyl Carbinol Substituted Polynorbornene
机译:
光酸产生剂添加剂对双三氟甲基甲醇取代的聚降冰片烯溶解行为的影响
作者:
Trevor Hoskins
;
Cody M. Berger
;
Peter J. Ludovice
;
Clifford L. Henderson
;
Larry D. Seger
;
Chun Chang
;
Larry F. Rhodes
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
bis-trifluoromethyl carbinol;
hexafluoroisopropanol;
HFA, photoacid generator;
PAG;
dissolution inhibition;
34.
E-beam proximity effect parameters of sub-100nm features
机译:
100nm以下特征的电子束接近效应参数
作者:
Keith Mountfield
;
Andrew Eckert
;
XiaoMin Yang
;
Earl Johns
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
E-beam lithography;
proximity effect;
data storage;
thin film heads;
nanofabrication;
35.
Critical Dimension Control in 90 nm - 65nm node
机译:
90 nm-65nm节点中的关键尺寸控制
作者:
Yuichi Terashita
;
Momoko Shizukuishi
;
Hideo Shite
;
Hideharu Kyoda
;
Kazuhiko Oshima
;
Kosuke Yoshihara
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193nm;
CD (critical dimension) control;
PEB (post exposure bake);
36.
Critical Dimension Control for Prevention of Wafer to Wafer and Module to Module Difference
机译:
防止晶圆间和模块间差异的关键尺寸控制
作者:
Masatoshi Deguchi
;
Kouichirou Tanaka
;
Naohiko Nagatani
;
Yuichiro Miyata
;
Mitsuo Yamashita
;
Yoshiaki Minami
;
Yuji Matsuyama
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
WtW MtM difference;
CD variation;
automatic adjustment tool;
37.
Design and Development of High Etch Rate Organic Bottom Antireflective Coating for Sub-100 nm Node and Beyond
机译:
低于100 nm节点及更高波长的高蚀刻速率有机底部抗反射涂层的设计与开发
作者:
Hengpeng Wu
;
Zhong Xiang
;
Aritaka Hishida
;
David Abdallah
;
Jianhui Shan
;
Eleazar Gonzalez
;
Shuji Ding
;
Mark Neisser
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193 nm;
photolithography;
bottom antireflective coating;
etch rate;
38.
Do we need complex resist models for predictive simulation of lithographic process performance?
机译:
我们是否需要复杂的抗蚀剂模型来进行光刻工艺性能的预测模拟?
作者:
B. Tollkuehn
;
A. Erdmann
;
J. Lammers
;
C. Noelscher
;
A. Semmler
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
lithography simulation;
simplified resist models;
model calibration;
optimization;
diffused aerial image model;
DAIM;
acid dose diffusion threshold model;
ADDIT2;
39.
Combinatorial Resist Processing Studies
机译:
组合抗蚀剂加工研究
作者:
Carl E. Larson
;
Gregory M. Wallraff
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
chemically amplified resist;
combinatorial chemistry;
processing conditions;
thermal gradient;
40.
Characterization of new ultra thick chemically amplified positive tone photoresists suitable for electroplating application
机译:
适用于电镀应用的新型超厚化学放大正型光刻胶的表征
作者:
Anja Voigt
;
Marina Heinrich
;
Gabi Gruetzner
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
polymers;
chemically amplified resist;
ultra-thick;
positive tone photoresist;
electroplating;
41.
Characterization of Outgassing for EUV Technology
机译:
EUV技术的除气特性
作者:
Vani Thirumala
;
Heidi Cao
;
Wang Yueh
;
Hokkin Choi
;
Victoria Golovkina
;
John Wallace
;
Paul Nealey
;
Don Thielman
;
Franco Cerrina
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
42.
Bottom Anti-Reflective Coatings (BARCs) for 157-nm Lithography
机译:
用于157 nm光刻的底部抗反射涂层(BARC)
作者:
Liu He
;
Rama Puligadda
;
Joyce Lowes
;
Michael Rich
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
157 nm;
BARC;
anti-reflective coating;
lithography;
43.
Improved Performance of Apex-E Photoresist with the Application of the Electric Field Enhanced PEB
机译:
电场增强型PEB的应用可提高Apex-E光致抗蚀剂的性能
作者:
Jacob Poppe
;
Andrew R. Neureuther
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
chemically amplified resist;
electric field enhanced post exposure bake;
EFE-PEB;
acid diffusion;
SEM;
lithography;
imaging;
post exposure bake;
44.
Influence of writing strategy on CD control for the spatial light modulator-based Sigma7300 DUV laser pattern generator
机译:
基于空间光调制器的Sigma7300 DUV激光图案发生器的写入策略对CD控制的影响
作者:
Hans Fosshaug
;
Per Askebjer
;
Johan Karlsson
;
Adisa Bajramovic
;
Kezhao Xing
;
Robert Ekund
;
Jonathan Walford
;
Mats Ekberg
;
Peter Hoegfeldt
;
Thomas Oestroem
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
laser pattern generator;
spatial light modulator;
writing strategy;
chemically amplified resists;
photoacid generator;
acid diffusion;
45.
How to Print 100 nm Contact Hole with Low NA 193 nm Lithography
机译:
如何在低NA 193 nm光刻条件下打印100 nm接触孔
作者:
Shang-ho Lin
;
Jui-mei Teng
;
Jian-hong Chen
;
Chun-hua Chen
;
Bang-ching Ho
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
thermal flow process;
resist flow process;
contact hole;
ArF lithography;
46.
Methodology and practical application of an ArF resist model calibration
机译:
ArF抗蚀剂模型校准的方法和实际应用
作者:
R. Ziebold
;
B. Kuechler
;
C. Noelscher
;
M. Roessiger
;
K. Elian
;
B. Tollkuehn
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography simulation;
model calibration;
optimization;
design of experiment;
47.
Application of polysilazane to etch mask in pattern transfer processes for deep and vacuum UV lithography
机译:
聚硅氮烷在深紫外和真空紫外光刻的图案转移工艺中蚀刻掩模的应用
作者:
Yasuhiko Sato
;
Junko Abe
;
Tsuyoshi Shibata
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
polysilazane;
tri-level resist system;
middle layer;
film density;
etch resistance;
48.
Advances in Resist Pattern Transfer Process using 157-nm Lithography
机译:
157 nm光刻技术在抗蚀剂图案转移工艺方面的进展
作者:
Takamitsu Fumkawa
;
Takuya Hagiwara
;
Etsurou Kawaguchi
;
Kentaro Matsunaga
;
Toshifumi Suganaga
;
Toshiro Itani
;
Kiyoshi Fujii
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
157-nm lithography;
0.90-NA;
bilayer;
silsesquioxane;
49.
Mechanisms of defect generation in chemically amplified resist processes
机译:
化学放大抗蚀剂工艺中缺陷产生的机理
作者:
Takeshi Shimoaoki
;
Ryouichiro Naito
;
Junichi Kitano
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
defect;
BARC;
exposure dose;
50.
Micro-lens Induced Pattern Defect in DUV Resist
机译:
DUV抗蚀剂中微透镜引起的图案缺陷
作者:
Shu-Fen Tsai
;
Chin-You Chen
;
Chih-Chuan Chang
;
Tai-Wei Huang
;
Hann-Yii Gao
;
Chin-Yu Ku
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
particle;
defect;
micro-lens;
DUV;
51.
Mist deposition of thin photoresist films
机译:
薄光致抗蚀剂膜的薄雾沉积
作者:
William Mahoney
;
Paul Roman
;
Paul Mumbauer
;
Jerzy Ruzyllo
会议名称:
《》
|
2004年
关键词:
photoresist;
mist deposition;
spin-on;
thin resist application;
52.
Optimized filtration for reduced defectivity and improved dispense recipe in 193 nm BARC lithography
机译:
在193 nm BARC光刻中优化过滤以减少缺陷并改善分配配方
作者:
Phong Do
;
Joe Pender
;
Thomas Lehmann
;
Leo Mc Ardle
;
Barry Gotlinsky
;
Michael Mesawich
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
BARC;
193nm lithography;
filtration;
post-etch defects;
photoresist pump;
micro-bridge defects;
nylon 6,6;
53.
Single Layer and Bilayer Resist Processes for EUV-type Integrations
机译:
用于EUV型集成的单层和双层抗蚀剂工艺
作者:
Richard Peters
;
Colita Parker
;
Jonathan Cobb
;
Eric Luckowski
;
Eric Weisbrod
;
Bill Dauksher
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
ultrathin resist;
bilayer resist;
gate patterning;
contacts;
EUV;
54.
New Materials for 193-nm Trilayer Imaging
机译:
193 nm三层成像的新材料
作者:
Jim D. Meador
;
Doug Holmes
;
Mariya Nagatkina
;
Rama Puligadda
;
Denise Gum
;
Randy Bennett
;
Sam Sun
;
Tomoyuki Enomoto
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193-nm microlithography;
248 nm;
trilayer;
spin-on;
hardmask;
antireflective;
BARC;
55.
Influence of activation energy on LER in chemically amplified KrF photoresists
机译:
活化能对化学放大KrF光刻胶中LER的影响
作者:
Jae Hyun Kim
;
Chang Ho Lee
;
Seok Bong Park
;
Won Mi Kim
;
Sang Sik Moon
;
Kyung-Mee Kim
;
Shi Yong Lee
;
Sangwoong Yoon
;
Young-Ho Kim
;
Sang Mun Chon
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
248-nm single layer resist;
activation energy;
LER;
acetal;
annealing;
acid diffusion;
56.
Silicon Backbone Polymers as EUV Resists
机译:
硅骨干聚合物抗EUV
作者:
J. Pablo Bravo-Vasquez
;
Young-Je Kwark
;
Christopher K. Ober
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
EUV lithography;
silicon containing polymer;
polysilane;
polycarbosilane;
polysilsesquiazane;
chemical amplification;
57.
PEB Sensitivity Studies Of ArF Resists (Ⅱ): Polymer And Solvent Effects
机译:
ArF抗蚀剂的PEB敏感性研究(Ⅱ):聚合物和溶剂效应
作者:
Chi-Sun Hong
;
Sang-Ho Lee
;
Woo-Kyu Kim
;
Takanori Kudo
;
Allen Timko
;
Douglas McKenzie
;
Clement Anyadiegwu
;
Dalil M. Rahman
;
Guanyang Lin
;
Ralph R. Dammel
;
Munirathna Padmanaban
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193 nm resists;
ClogP;
polymer;
PEB sensitivity;
hydrophobicity;
solvent;
58.
Study of Proximity Lithography Simulations Using Measurements of Dissolution Rate and Calculation of the Light Intensity Distributions in the Photoresist
机译:
用溶解速率的测量和光致抗蚀剂中光强度分布的计算研究近距离光刻技术
作者:
Yoshihisa Sensu
;
Mariko Isono
;
Atsushi Sekiguchi
;
Mikio Kadoi
;
Toshiharu Matsuzawa
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
thick film resist;
dissolution rate;
proximity printing;
resist profile simulation;
mask aligner;
59.
Surface Conditioning Solutions for Pattern Collapse Reduction
机译:
减少图案塌陷的表面调节解决方案
作者:
Peng Zhang
;
Manuel Jaramillo Jr.
;
Danielle M. King
;
Madhukar B. Rao
;
Bridget L. OBrien
;
Brenda F. Ross
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
pattern collapse;
defect level;
surface conditioning solution;
248 nm process;
60.
Surface Conditioning Solutions to Reduce Resist Line Roughness
机译:
表面处理解决方案,以减少电阻线的粗糙度
作者:
Peng Zhang
;
Manuel Jaramillo Jr.
;
Madhukar B. Rao
;
Colin Yates
;
Danielle M. King
;
Brenda F. Ross
;
Bridget L. OBrien
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
line width roughness (LWR);
pattern collapse;
surface conditioning solution;
61.
Via fill properties of organic BARCs in Dual Damascene application
机译:
双金属镶嵌应用中有机BARC的通孔填充特性
作者:
Runhui Huang
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
BARC;
dual damascene;
voids;
via fill;
flow property;
62.
Wet Developable Bottom Anti-Reflective Coatings
机译:
可湿显影的底部抗反射涂层
作者:
Tadashi Hatanaka
;
Shigeo Kimura
;
Tomoyuki Enomoto
;
Yasuyuki Nakajima
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
wet developable BARC;
organic BARC;
NCA800series;
ion doping layer;
63.
Evaluation of Resist-Film Property by Scan and Spin Coating
机译:
通过扫描和旋涂评估胶卷性能
作者:
Hiroshi Shinya
;
Takayuki Ishii
;
Yukihiro Wakamoto
;
Shinichi Sugimoto
;
Takahiro Kitano
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
film property;
CD(critical dimension) control;
scan coating;
spin coating;
ultra-thin film;
LER;
64.
Evaluation of puddle time effect and optimization of development process in 193 nm lithography
机译:
193 nm光刻中水坑时间效应的评估和显影工艺的优化
作者:
Hyung-Rae Lee
;
Jangho Shin
;
Hyun-Woo Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193 nm;
lithography;
puddle time;
development process;
throughput;
65.
Effect of the rinse solution to avoid 193 nm resist line collapse: A study for modification of resist polymer and process conditions
机译:
冲洗溶液避免193 nm抗蚀剂线崩塌的效果:关于抗蚀剂聚合物改性和工艺条件的研究
作者:
Seiya Masuda
;
Masakazu Kobayashi
;
Woo-Kyu Kim
;
Clement Anyadiegwu
;
Munirathna Padmanaban
;
Ralph R. Dammel
;
Keiichi Tanaka
;
Yoshiaki Yamada
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
193 nm resist;
line collapse;
rinse solution;
FIRM process;
66.
Effect of Film Thickness on the Dissolution Rate Behavior of Photoresist Polymer Thin Films
机译:
膜厚对光致抗蚀剂聚合物薄膜溶解速率行为的影响
作者:
Lovejeet Singh
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
polymer;
thin film;
dissolution rate;
photoresist;
quartz crystal microbalance;
QCM;
67.
Contrast Enhancement Materials for Thick Photoresist Applications
机译:
厚光刻胶应用的对比度增强材料
作者:
Warren W. Flack
;
Ha-Ai Nguyen
;
Jim Buchanan
;
Elliott Capsuto
;
Alan Marks
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
contrast enhancement material;
CEM;
thick photoresist;
advanced packaging;
flipchip;
MEMS;
aspect ratios;
BCB;
68.
Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process
机译:
显影剂可溶的Gap填充材料,用于在Via-first Dual Damascene工艺中对金属沟槽进行构图
作者:
Mandar Bhave
;
Kevin Edwards
;
Carlton Washburn
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
gap fill material;
via-first dual damascene (DD) process;
iso-dense fill bias;
developer;
69.
Double Pre-wet RRC (Reducing Resist Consumption) Process for Deep Ultraviolet Bottom Antireflective Coatings (BARC)
机译:
用于深紫外底面抗反射涂层(BARC)的双预湿RRC(降低抗蚀剂消耗)工艺
作者:
Xiao Li
;
Warren Greene
;
Chris Bowker
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
deep ultraviolet;
defect;
resist;
resist consumption;
BARC;
critical dimension;
70.
Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications
机译:
用于电镀应用的超厚正性光刻胶的表征
作者:
Brad K. Avrit
;
W. Maxwell
;
Lisa M. Huynh
;
Elliott Capsuto
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
advanced packaging;
MEMS;
thick resist;
electroplating;
process optimization;
Cu bump;
single coat 100 micron resist film;
71.
Chemically amplified photoresist characterization using interdigitated electrodes: An improved method for determining the Dill C parameter
机译:
使用叉指电极进行化学放大的光刻胶表征:一种确定Dill C参数的改进方法
作者:
Cody M. Berger
;
Clifford L. Henderson
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
dill C parameter;
chemically amplified photoresist;
interdigitated electrodes;
dielectric constant;
72.
Analysis of Solvent Effect to Control the BARC Coating Uniformity
机译:
控制BARC涂层均匀性的溶剂效应分析
作者:
Min-Ho Jung
;
Sangwoong Yoon
;
Eun-Soon Chung
;
Beom-Sang Yoo
;
Jeong Yun Yu
;
Don Winning
;
Boo Deuk Kim
;
Hong Lee
;
Do Young Kim
;
Young Hoon kim
;
Myungsun Kim
;
Young Ho Kim
;
Sang-Mun Chon
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
BARC;
coating uniformity;
humidity effect;
solvent effect;
morphology change;
73.
A Novel Wafer Baking System Using Hot Air Streams
机译:
使用热气流的新型晶圆烘烤系统
作者:
Wang Lan
;
Chow Siew Loong
;
Loh Ai Poh
;
Gong Zhi Ming
;
Tan Woei Wan
;
Arthur Tay
;
Ho Weng Khuen
会议名称:
《Conference on Advances in Resist Technology and Processing XXI pt.2; 20040223-20040224; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
wafer-baking process;
post exposure bake (PEB);
temperature uniformity;
意见反馈
回到顶部
回到首页