掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on optical microlithography XXIII
Conference on optical microlithography XXIII
召开年:
2010
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Stepwise fitting methodology for Optical Proximity Correction modeling
机译:
光学邻近校正建模的逐步拟合方法
作者:
Artak Isoyan
;
Jianliang Li
;
Lawrence S. Melvin III
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
OPC;
modeling;
2.
Achieving Interferometric Double Patterning through Wafer Rotation
机译:
通过晶圆旋转实现干涉双图案
作者:
Peng Xie
;
Neal V. Lafferty
;
Bruce W. Smith
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
interferometric lithography;
double patterning;
wafer rotation;
alignment;
3.
Partial spatial coherence in an excimer-laser lithographic imaging system
机译:
准分子激光光刻成像系统中的部分空间相干性
作者:
Arlene Smith
;
Anna Burvall
;
Christopher Dainty
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
partial coherence;
excimer;
elementary function;
imaging;
4.
Methods for assessing empirical model parameters and calibration pattern measurements
机译:
评估经验模型参数和校准图案测量的方法
作者:
Xin Zhou
;
Eldar Khaliullin
;
Lan Luan
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
model calibration;
model effectiveness;
Fisher Information Matrix;
Cramer-Rao Lower Bound;
5.
Comparison of OPC models with and without 3D-mask effect
机译:
具有和不具有3D蒙版效果的OPC模型的比较
作者:
Jung-Hoon Ser
;
Tae-Hoon Park
;
Moon-Gyu Jeong
;
Eun-Mi Lee
;
Sung-Woo Lee
;
Chun-Suk Suh
;
Seong-Woon Choi
;
Chan-Hoon Park
;
Joo-Tae Moon
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
OPC model;
3D mask;
thick mask;
6.
Self-Aligned Double Patterning Process for 32/32nm Contact/Space and beyond using 193 Immersion Lithography
机译:
使用193浸没式光刻技术,用于32 / 32nm接触/空间及更大范围的自对准双图案化工艺
作者:
Bencherki Mebarki
;
Liyan Miao
;
Yongmei Chen
;
James Yu
;
Pokhui Blanco
;
James Makeeff
;
Jen Shu
;
Christoher Bencher
;
Mehul Naik
;
Christopher Sui Wing Ngai
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
contact;
patterning;
lithography;
self-aligned;
spacer;
core;
frequency doubling;
7.
Study of Model based etch bias retarget for OPC
机译:
基于模型的OPC蚀刻偏压重定位研究
作者:
Qingwei Liu
;
Renqiang_Cheng
;
Liguo Zhang
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
model-based;
etch bias;
RET;
OPC;
calibre;
8.
Impact of Illumination on Model -Based SRAF Placement for Contact Patterning
机译:
照明对基于模型的SRAF接触图案放置的影响
作者:
John L. Sturtevant
;
Srividya Jayaram
;
Omar El-Sewefy
;
Aasutosh Dave
;
Pat LaCour
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
illumination;
simulation;
PV Band;
SRAF;
9.
High Reliability ArF Light Source for Double Patterning Immersion Lithography
机译:
用于双图案浸没式光刻的高可靠性ArF光源
作者:
Rostislav Rokitski
;
Toshi Ishihara
;
Rajeskar Rao
;
Rui Jiang
;
Mary Haviland
;
Theodore Cacouris
;
Daniel Brown
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
immersion lithography;
double patterning;
excimer laser;
deep ultraviolet;
10.
Pattern deformation caused by deformed pellicle with ArF exposure
机译:
暴露在ArF中的薄膜变形导致的图案变形
作者:
Jee-Hye You
;
Ilsin An
;
Hye-Keun Oh
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
11.
Inter-layer Self-Aligning Process for 22nm Logic
机译:
22nm逻辑的层间自对准工艺
作者:
Michael C. Smayling
;
Stewart Robertson
;
Damian Lacey
;
Sanjay Kapasi
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
gridded design rules;
restricted design rules;
self-aligned structures;
12.
Novel continuously shaped diffractive optical elements enable high efficiency beam shaping
机译:
新型连续成形的衍射光学元件可实现高效光束整形
作者:
Yuri V. Miklyaev
;
Waleri Imgrunt
;
Vladimir S. Pavelyev
;
Denis G. Kachalov
;
Tanja Bizjak
;
Lutz Aschke
;
Vitalij N. Lissotschenko
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
diffractive optical elements (DOE);
diffractive beam splitters;
diffractive continuous microrelief;
free form surfaces;
beam shaping;
homogeneity;
microoptics array;
13.
Study for lithography techniques of hybrid mask shape of contact hole with 1.35NA polarized illumination for 28nm-node and below logic LSI
机译:
用于28nm节点及以下逻辑LSI的1.35NA偏振照明的接触孔混合掩模形状的光刻技术研究
作者:
Yuji Setta
;
Katsuyoshi Kobayashi
;
Tatsuo Chijimatsu
;
Satoru Asai
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
low kl lithography;
contact-hole;
polarization;
14.
A Non-Delta-Chrome OPC Methodology for Process Models with Three-Dimensional Mask Effects
机译:
具有三维蒙版效果的过程模型的非Delta-Chrome OPC方法论
作者:
Philip C. W. Ng
;
Kuen-Yu Tsai
;
Chih-Hsien Tang
;
Lawrence Melvin
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
optical proximity correction;
three-dimensional mask effects;
nonlinearity;
feedback compensation;
15.
Methods for Benchmarking Photolithography Simulators: Part V
机译:
标定光刻模拟器的方法:第五部分
作者:
Trey Graves
;
Mark D. Smith
;
Sanjay Kapasi
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
lithography simulation;
numerical accuracy;
image intensity;
post-exposure bake;
PROLITH;
16.
Improvement in Process Window Aware OPC
机译:
改进过程窗口感知OPC
作者:
Xiaohai Li
;
Yasushi Kojima
;
Hironobu Taoka
;
Akemi Moniwa
;
Matt St. John
;
Yang Ping
;
Randall Brown
;
Robert Lugg
;
Sooryong Lee
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
optical proximity correction (OPC);
process window;
process window aware OPC (PWA-OPC);
17.
A New Etch-Aware After Development Inspection (ADI) Technique for OPC Modeling
机译:
用于OPC建模的新的蚀刻后检测检查(ADI)技术
作者:
Jing Xue
;
Jason Huang
;
Aram Kazarian
;
Brad Falch
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
etch bias;
after development inspection (ADI);
after etch inspection (AEI);
staged model;
retarget model;
OPC modeling;
18.
Improved Process Window Modeling Techniques
机译:
改进的过程窗口建模技术
作者:
Christian Zuniga
;
Tamer Tawfik
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
lithography;
OPC model;
process window;
calibration;
Bossung;
focus;
19.
A Novel Decomposition of Source Kernel for OPC Modeling
机译:
用于OPC建模的源内核的新型分解
作者:
C.T. Hsuan
;
T.S. Wu
;
Fred Lo
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
OPC;
ideal source;
KIF;
measured source;
decomposed source;
model accuracy;
runtime;
20.
A Novel Method to Reduce Wafer Topography Effect for Implant Lithography Process
机译:
减少植入光刻工艺中晶片形貌效应的新方法
作者:
Lei Yuan
;
Sanggil Bae
;
Yong Feng Fu
;
Ao Chen
;
Hui Peng Koh
;
Qun Ying Lin
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
wafer topography;
implant lithography;
sub-resolution feature;
lithography simulation;
21.
Immersion BARC for Hyper NA Applications II
机译:
适用于Hyper NA应用的浸入式BARC II
作者:
Yu-Chin Huang
;
Kai-Lin Chuang
;
Tsung-Ju Yeh
;
Steven Wu
;
Bill Lin
;
Wen-Liang Huang
;
Bo-Jou Lu
;
E.T. Liu
;
Chun Chi Yu
;
Chaoyang Lin
;
Jeong Yun Yu
;
Greg Prokopowicz
;
Sue Ryeon Kim
;
Sabrina Wong
;
George Barclay
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
immersion lithography;
Reflectivity control;
Substrate effect;
22.
Examining Reflectivity Criterion for Various ArF Lithography
机译:
检查各种ArF光刻的反射率准则
作者:
Meng-Feng Tsai
;
Chia-Chi Lin
;
Wei-Chun Chao
;
Chan-Tsun Wu
;
Jun-Cheng Lai
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
reflection;
ArF;
BARC (bottom anti-reflection coating);
RET (Resolution Enhancement Technology);
23.
CD-Uniformity for 45nm NV Memory on product-stack
机译:
产品堆栈上45nm NV存储器的CD一致性
作者:
Umberto Iessi
;
Brian Colombo
;
Johannes Plauth
;
Benedetta Triulzi
;
Elio De Chiara
;
Paolo Canestrari
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
CD uniformity;
dose mapper;
agile;
LithoCruiser;
CDU predictor;
24.
Laser Spectrum Requirements for Tight CD Control at Advanced Logic Technology Nodes
机译:
先进逻辑技术节点上严格CD控制的激光光谱要求
作者:
R. C. Peng
;
H. J. Lee
;
John Lin
;
Arthur Lin
;
Allen Chang
;
Benjamin Szu-Min Lin
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
CD control;
laser parameter;
laser spectrum;
photolithography simulation;
25.
Wafer LMC Accuracy Improvement by Adding Mask Model
机译:
通过添加掩模模型来提高晶圆LMC精度
作者:
Wei Cyuan Lo
;
Yung Feng Cheng
;
Ming Jui Chen
;
Peter Huang
;
Stephen Chang
;
Eiji Tsujimoto
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
mask model;
poly;
45nm;
verification;
simulation;
26.
Aerial image model and application to aberration measurement
机译:
航空影像模型及其在像差测量中的应用
作者:
Anatoly Y. Burov
;
Liang Li
;
Zhiyong Yang
;
Fan Wang
;
Lifeng Duan
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
wavefront error;
zernike coefficient;
aerial image;
bananicity;
27.
CDU linear model based on aerial image principal components
机译:
基于航空影像主成分的CDU线性模型
作者:
Zhiyong Yang
;
Anatoly Y. Burov
;
Liang Li
;
Fan Wang
;
Zhaoxiang Chu
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
CDU linear model;
Zernike coefficient;
Bossung curve;
process window;
28.
Selective Inverse Lithography Methodology
机译:
选择性反光刻技术
作者:
ChinTeong Lim
;
Vlad Temchenko
;
Martin Niehoff
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
29.
Lithography Cycle Time Improvements Using Short-Interval Scheduling
机译:
使用短间隔计划改进光刻周期时间
作者:
David Norman
;
Scott Watson
;
Michael Anderson
;
Steve Marteney
;
Ben Mehr
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
30.
Advances in DOE modeling and optical performance for SMO applications
机译:
用于SMO应用的DOE建模和光学性能方面的进展
作者:
James Carriere
;
Jared Stack
;
John Childers
;
Kevin Welch
;
Marc D. Himel
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
DOE;
diffractive optical element;
diffuser;
off-axis illumination;
source mask optimization;
tunable;
31.
Modeling of CD and placement error in multi-spacer patterning technology
机译:
多垫片图形技术中的CD建模和放置错误
作者:
S. Babin
;
K. Bay
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
spacer patterning technology;
SPT;
self aligned double patterning;
SADP;
dry etch;
film deposition;
etch profile;
CD variation;
microloading;
placement error;
32.
Process Window and Integration Results for Full-Chip Model-Based Assist-Feature Placement at the 32 nm Node And Below
机译:
基于全芯片模型的辅助功能在32 nm节点及以下节点的处理窗口和集成结果
作者:
Ji Li
;
Gerry Luk-Pat
;
Amyn Poonawala
;
Kevin Lucas
;
Ben Painter
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
model-based assist feature (MBAF);
rule-based assist feature (RBAF);
assist-feature printing;
process window;
mask-rule check (MRC);
33.
Novel ATHENA Mark Design to Enhance Alignment Quality in Double Patterning with Spacer Process
机译:
新颖的ATHENA标记设计,可通过间隔条工艺在双图案中提高对准质量
作者:
L.W. Chen
;
Mars Yang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chin-Yuan Lu
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
DPS;
VSPM;
alignment;
WQ;
MCC;
ROPI;
overlay;
34.
Optimization on Illumination Source with Design of Experiments
机译:
实验设计优化光源
作者:
Helen Hu
;
Yi Zou
;
Yunfei Deng
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
source optimization;
illumination;
DOE;
response surface methodology;
35.
Analysis of Photoresist Edge Bead Removal Using Laser Light and Gas
机译:
激光和气体去除光刻胶边缘珠的分析
作者:
V. Chaplick
;
E. Degenkolb
;
D. Elliott
;
K. Harte
;
R. Millman Jr.
;
M. Tardif
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
defectively;
edge bead;
edge exclusion;
apex;
fluence;
laser;
36.
Flexible and reliable high power injection locked laser for double exposure and double patterning ArF immersion lithography
机译:
灵活可靠的高功率注入锁定激光器,可进行两次曝光和两次构图的ArF浸没式光刻
作者:
Masaya Yoshino
;
Hiroshi Umeda
;
Hiroaki Tsushima
;
Hidenori Watanabe
;
Satoshi Tanaka
;
Shinich Matsumoto
;
Takashi Onose
;
Hiroyuki Nogawa
;
Yasufumi Kawasuji
;
Takashi Matsunaga
;
Junichi Fujimoto
;
Hakaru Mizoguchi
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
32nm node;
ArF excimer laser;
Injection Lock;
line narrow;
193nm lithography;
Immersion;
spectrum bandwidth;
high power;
37.
Applications of MoSi-based Binary Intensity Mask for Sub-40nm DRAM
机译:
基于MoSi的二元强度掩模在40nm以下DRAM中的应用
作者:
Tae-Seung Eom
;
Eun-Kyoung Shin
;
Eun-Ha Lee
;
Yoon-Jung Ryu
;
Jun-Taek Park
;
Sunyoung Koo
;
Hye-Jin Shin
;
Seung-Hyun Hwang
;
Hee-Youl Lim
;
Sarohan Park
;
Kyu-Tae Sun
;
Noh-Jung Kwak
;
Sungki Park
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
polarization;
Hyper-NA immersion lithography;
MoSi-based BIM;
att.PSM;
DRAM;
38.
OMOG Mask Topography Effect on Lithography Modeling of 32nm Contact Hole Patterning
机译:
OMOG掩模的地形对32nm接触孔图案化光刻建模的影响
作者:
Lei Yuan
;
Wenzhan Zhou
;
Larry L. Zhuang
;
Kwang Sub Yoon
;
Qun Ying Lin
;
Scott Mansfield
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
opaque MoSi on glass;
MoSi binary mask;
OMOG;
lithography modeling;
mask topography;
contact hole;
39.
Toward a Consistent and Accurate Approach to Modeling Projection Optics
机译:
寻求一致且准确的投影光学建模方法
作者:
Danping Peng
;
Peter Hu
;
Vikram Tolani
;
Thuc Dam
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
abbe;
Hopkins;
Maxwell equation;
vector optics;
scalar optics;
polarization;
partial coherent;
lithography simulation;
40.
Abbe-PCA-SMO : Microlithography Source and Mask Optimization Based on Abbe-PCA
机译:
Abbe-PCA-SMO:基于Abbe-PCA的微光刻源和掩模优化
作者:
Jason Hsih-Chie Chang
;
Charlie Chung-Ping Chen
;
Lawrence S. Melvin III
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
41.
Source-mask optimization (SMO): from theory to practice
机译:
源掩码优化(SMO):从理论到实践
作者:
Thuc Dam
;
Vikram Tolani
;
Peter Hu
;
Ki-Ho Baik
;
Linyong Pang
;
Bob Gleason
;
Steven D. Slonaker
;
Jacek K. Tyminski
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
SMO;
ILT;
OPC;
optical aberrations;
scanner aberrations;
Zernike aberrations;
Jones pupil aberrations;
42.
Performance ofFlexRay, a fully programmable Illumination system for generation of Freeform Sources on high NA immersion systems
机译:
FlexRay的性能,这是一个完全可编程的照明系统,可在高NA浸没系统上生成自由形式的光源
作者:
Melchior Mulder
;
Andre Engelen
;
Oscar Noordman
;
Gert Streutker
;
Bert van Drieenhuizen
;
Cas van Nuenen
;
Wilfred Endendijk
;
Jef Verbeeck
;
Wim Bouman
;
Anita Bouma
;
Robert Kazinczi
;
Robert Socha
;
Dirk Juergens
;
Joerg Zimmermann
;
Bastian Trauter
;
Joost Bekaert
;
Bart Laenens
;
Daniel Corliss
;
Greg McIntyre
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
programmable illuminator;
FlexRay;
freeform sources;
source mask optimization;
43.
Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography
机译:
膜片对1.35 NA浸没ArF光刻技术的光学接近指纹影响的实验研究
作者:
Lieve Van Look
;
Joost Bekaert
;
Bart Laenens
;
Geert Vandenberghe
;
Jan Richter
;
Karsten Bubke
;
Jan Hendrik Peters
;
Koen Schreel
;
Mircea Dusa
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
pellicle;
optical proximity;
Jones Pupil;
CDU;
pellicle thickness uniformity;
44.
Methods and Challenges to extend existing dry 193nm medium NA lithography beyond 90nm
机译:
将现有的193nm干式NA光刻技术扩展到90nm以上的方法和挑战
作者:
Jens Schneider
;
Andreas Greiner
;
ChinTeong Lim
;
Vlad Temchenko
;
Felix Braun
;
Dieter Kaiser
;
Tarja Hauck
;
Ingo Meusel
;
Dietrich Burmeister
;
Stephan Loehr
;
Susanne Volkland
;
Astrid Bauch
;
Hendrik Kirbach
;
Daniel Sarlette
;
Katrin Thiede
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
sub 90nm;
chemical shrink;
dry 193nm;
medium NA;
45.
Lithography Light Source Fault Detection
机译:
光刻光源故障检测
作者:
Matthew Graham
;
Erica Pantel
;
Patrick Nelissen
;
Jeffrey Moen
;
Eduard Tincu
;
Wayne Dunstan
;
Daniel Brown
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
light source;
fault detection and classification;
availability;
46.
Metamaterials for enhancement of DUV lithography
机译:
用于增强DUV光刻的超材料
作者:
Andrew Estroff
;
Neal V. Lafferty
;
Peng Xie
;
Bruce W. Smith
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
surface plasmon resonance;
attenuated total reflection;
DUV;
metamaterials;
superlens;
pupil filter;
optical lithography;
47.
Fast-Converging Iterative Gradient Decent Methods for High Pattern Fidelity Inverse Mask Design
机译:
高图案逼真度反掩码设计的快速收敛迭代梯度下降方法
作者:
Jue-Chin Yu
;
Peichen Yu
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
optical proximity correction;
inverse lithography;
image gradient;
48.
The impact of resist model on mask 3D simulation accuracy beyond 40nm node memory patterns
机译:
抗蚀剂模型对超过40nm节点存储图案的掩模3D模拟精度的影响
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
EMF;
3D mask;
mask topography;
lumped parameter resist model;
full physical calibrated resist model;
49.
A Simplified Reaction-diffusion System of Chemically-Amplified Resist Process Modeling for OPC
机译:
OPC的化学放大抗蚀剂工艺建模的简化反应扩散系统
作者:
Yongfa Fan
;
Moon-Gyu Jeong
;
Junghoon Ser
;
Sung-Woo Lee
;
Chunsuk Suh
;
Kyo-Il Koo
;
Sooryong Lee
;
Irene Su
;
Lena Zavyalova
;
Brad Falch
;
Jason Huang
;
Thomas Schmoeller
会议名称:
《》
|
2010年
关键词:
OPC;
modeling;
chemically amplified resist;
50.
Intra Field CD Uniformity Correction by Scanner Dose Mapper using Galileo~? Mask Transmission Mapping as the CDU Data Source
机译:
扫描仪剂量映射器使用Galileo〜?进行场内CD均匀性校正掩码传输映射作为CDU数据源
作者:
Gek Soon Chua
;
Chason Eran
;
Sia Kim Tan
;
Byoung IL Choi
;
Teng Hwee Ng
;
Poh Ling Lua
;
Ofir Sharoni
;
Guy Ben-Zvi
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
intra-field;
reticle transmission;
dose mapper;
DOMA;
CD CDU;
galileo;
scanner signature;
mask transmission mapping;
51.
Automatic numerical determination of lateral influence functions for fast-CAD
机译:
快速CAD的横向影响函数的自动数值确定
作者:
Marshal A. Miller
;
Kenji Yamazoe
;
Andrew R. Neureuther
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
pattern matching;
boundary layers;
edge effects;
focus shift;
kernel convolution;
hotspot detection;
mask 3D topology effect;
process variation;
52.
Latest performance of immersion scanner S620D with the Streamlign platform for the double patterning generation
机译:
带有Streamlign平台的沉浸式扫描仪S620D的最新性能,可生成双图案
作者:
Hirotaka Kohno
;
Yuichi Shibazaki
;
Jun Ishikawa
;
Junichi Kosugi
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
exposure tool;
scanner;
double patterning;
overlay;
throughput;
modular structure;
encoder;
fluctuation;
53.
Virtual Fab Flow for Wafer Topography Aware OPC
机译:
晶圆拓扑感知OPC的虚拟工厂流程
作者:
Hans-Juergen Stock
;
Lars Bomholt
;
Dietmar Krueger
;
James Shiely
;
Hua Song
;
Nikolay Voznesenskiy
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
optical lithography simulation;
optical proximity correction;
wafer topography;
54.
The role of mask topography effects in the optimization of pixelated sources
机译:
掩模形貌效应在像素化光源优化中的作用
作者:
Vitaliy Domnenko
;
Bemd Kuechler
;
Thomas Muelders
;
Thomas Schmoeller
;
Hans-Juergen Stock
;
Georg Viehoever
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
optical lithography simulation;
mask topography effects;
polarized illumination;
customized illumination;
pixelated source;
source mask optimization;
55.
LENS (Lithography Enhancement Towards Nano Scale) a European Project to support Double Exposure and Double Patterning technology development
机译:
LENS(纳米级光刻增强技术)一项欧洲项目,旨在支持双曝光和双图案技术的发展
作者:
Pietro Cantu
;
Livio Baldi
;
Paolo Piacentini
;
Joost Sytsma
;
Bertrand Le Gratiet
;
Stephanie Gaugiran
;
Patrick Wong
;
Hiroyuki Miyashita
;
Luisa Rita Atzei
;
Xavier Buch
;
Dick Verkleij
;
Olivier Toublan
;
Francesc Perez-Murano
;
David Mecerreyes
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
56.
Topography-aware BARC optimization for double patterning
机译:
地形感知的BARC优化以实现双图案
作者:
Shijie Liu
;
Tim Fuehner
;
Feng Shao
;
Aliaksandr Barenbaum
;
Johannes Jahn
;
Andreas Erdmann
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
lithography simulation;
bottom anti-reflective coating (BARC);
waferstack optimization;
double patterning;
57.
Towards Ultimate Optical Lithography with NXT:1950i Dual Stage Immersion Platform
机译:
借助NXT:1950i双阶段浸没平台实现极致光学光刻
作者:
Tom Castenmiller
;
Frank van de Mast
;
Toine de Kort
;
Coen van de Vin
;
Marten de Wit
;
Raf Stegen
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
immersion lithography;
exposure system;
double patterning;
32-nm;
22-nm;
overlay;
throughput;
58.
Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography
机译:
激光带宽对45纳米和32纳米技术节点采用浸没式光刻的覆盖预算和成像的影响
作者:
Umberto Iessi
;
Michiel Kupers
;
Elio De Chiara
;
Pierluigi Rigolli
;
Ivan Lalovic
;
G. Capetti
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
CD uniformity;
overlay budget. Lens aberrations;
laser bandwidth;
image placement error;
59.
Radial segmentation approach for contact hole patterning in 193 nm immersion lithography
机译:
径向分割方法在193 nm浸没式光刻中进行接触孔图案化
作者:
Moh Lung Ling
;
Gek Soon Chua
;
Sia Kim Tan
;
Cho Jui Tay
;
Chenggen Quan
;
Qunying Lin
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
contact holes patterning;
immersion lithography;
mask aperture;
circular aperture;
side lobe printing;
optical proximity correction;
resolution enhancement techniques;
segmentation;
60.
Advanced imaging with 1.35 NA immersion systems for volume production
机译:
带有1.35 NA浸没系统的先进成像技术可用于批量生产
作者:
Igor Bouchoms
;
Jan Mulkens
;
Sander de Putter
;
Pieter Gunter
;
Roelof de Graaf
;
Marcel Beems
;
Erwin Verdurmen
;
Hans Jasper
;
Nils Dieckmann
;
Frank Bornebroek
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
immersion lithography;
exposure systems;
defects;
overlay;
CD control;
low k1;
61.
High Fluence Testing Of Optical Materials For 193-nm Lithography Extensions Applications
机译:
用于193 nm光刻扩展应用的光学材料的高通量测试
作者:
V. Liberman
;
S. Palmacci
;
G. P. Geurtsen
;
M. Rothschild
;
P. A. Zimmerman
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
high fluence;
193 nm lithography;
optical materials;
SiO_2;
CaF_2;
62.
Binary Mask Optimization for Forward Lithography based on Boundary Layer Model in Coherent Systems *
机译:
相干系统中基于边界层模型的正向光刻二元掩模优化*
作者:
Xu Ma
;
Gonzalo R. Arce
会议名称:
《Conference on optical microlithography XXIII》
|
2010年
关键词:
binary mask;
thick mask;
forward lithography;
coherent imaging;
意见反馈
回到顶部
回到首页