掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
ACM/IEEE International Symposium on Low Power Electronics and Design
ACM/IEEE International Symposium on Low Power Electronics and Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Advances in low power verification
机译:
低功耗验证方面的进展
作者:
Bergeron Janick
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low power verification methodology;
2.
SOC designs in the energy conscious era
机译:
能源意识时代的SOC设计
作者:
Jadcherla Srikanth
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
energy efficiency;
low power;
regulations;
soc design;
soc verification;
trends;
3.
Innovations to extend CMOS nano-transistors to the limit
机译:
将CMOS纳米晶体管扩展到极限的创新
作者:
Ghani Tahir
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
CMOS;
logic;
scaling;
technology;
transistors;
4.
Penalty for power reduction -: performance or schedule or yield?
机译:
降低功耗的惩罚-:性能,进度或产量?
作者:
Sarker Bodhisatya
;
Ahuja Jaswinder
;
Dutta Arijit
;
D. Srinath
;
Sridhar Kaip
;
Nair Radhakrishnan
;
Lahiri Jayant
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low power;
performance;
schedule;
yield;
5.
Clock gating for power optimization in ASIC design cycle theory practice
机译:
ASIC设计周期理论与实践中用于功率优化的时钟门控
作者:
S Jairam
;
Rao Madhusudan
;
Srinivas Jithendra
;
Vishwanath Parimala
;
H Udayakumar
;
Rao Jagdish
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
ASIC;
RTL;
SoC;
low power;
optimization;
6.
System implications of integrated photonics
机译:
集成光子学的系统含义
作者:
Jouppi Norman P.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
interconnect;
photonics;
power;
7.
A tutorial on test power
机译:
测试能力教程
作者:
Agrawal Vishwani D.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low-power design;
testing;
8.
Power delivery for high performance microprocessors
机译:
高性能微处理器的电源传输
作者:
Balasubramanian Srikanth
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
microprocessors;
motherboard;
power delivery;
regulator;
supply noise;
vrm;
9.
Power management solutions for computer systems and datacenters
机译:
用于计算机系统和数据中心的电源管理解决方案
作者:
Rajamani Karthick
;
Lefurgy Charles
;
Ghiasi Soraya
;
Rubio Juan
;
Hanson Heather
;
Keller Tom
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
cooling solutions;
datacenter infrastructure;
energy-aware systems;
feedback control;
low-power design;
power management;
10.
Power management from cores to datacenters: where are we going to get the next ten-fold improvements?
机译:
从核心到数据中心的电源管理:接下来的十倍改进将在哪里实现?
作者:
Ranganathan Parthasarathy
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
power;
11.
Low power design under parameter variations
机译:
参数变化下的低功耗设计
作者:
Bhunia Swarup
;
Roy Kaushik
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low power design;
process variations;
12.
Towards a green electronic world: a collaborative approach
机译:
迈向绿色电子世界:一种协作方式
作者:
Ahuja Jaswinder
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
green electronics;
low power;
13.
Low power chips: a fabless asic perspective
机译:
低功耗芯片:无晶圆厂的ASIC视角
作者:
Bhonge Shashank
;
Boppana Vamsi
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
ASIC;
CSP;
DVFS;
MHz;
MIPS;
MIPS/Watt;
clock gating;
design-closure;
dynamic;
fabless;
frequency;
leakage;
library;
low power;
packaging;
power gating;
power planning;
standard-cell;
timing closure;
transistor;
transistor-level;
14.
On leakage currents: sources and reduction for transistors, gates, memories and digital systems
机译:
关于泄漏电流:晶体管,栅极,存储器和数字系统的来源和减少
作者:
Nebel Wolfgang
;
Helms Domenik
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
PTV variation;
SRAM leakage;
dynamic leakage management;
leakage current;
transistor engineering;
15.
Next-generation power-aware design
机译:
下一代电源感知设计
作者:
Sakurai Takayasu
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
SiP;
VLSI;
low power;
low voltage;
organic circuits;
proximity communication;
16.
On the rules of low-power design (and how to break them)
机译:
关于低功耗设计的规则(以及如何打破规则)
作者:
Austin Todd M.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
performance;
reliability;
17.
Frequency planning for multi-core processors under thermal constraints
机译:
热约束下多核处理器的频率规划
作者:
Kadin Michael
;
Reda Sherief
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
machine learning;
multi-core processors;
optimization;
performance;
temperature;
thermal management;
18.
A probabilistic technique for full-chip leakage estimation
机译:
一种全芯片泄漏估计的概率技术
作者:
Liu Shaobo
;
Qiu Qinru
;
Wu Qing
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
VLSI;
leakage estimation;
19.
Bus encoding for simultaneous delay and energy optimization
机译:
总线编码可同时进行延迟和能量优化
作者:
Zhang Jingyi
;
Wu Qing
;
Qiu Qinru
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
adaptive bus encoding;
coupling capacitance;
data probability distribution peaking;
delay optimization;
energy optimization;
opposite transition forbidden;
temporal/spatial redundancy;
20.
Single stage static level shifter design for subthreshold to I/O voltage conversion
机译:
单级静态电平转换器设计,可实现亚阈值至I / O电压转换
作者:
Lin Yi-Shiang
;
Sylvester Dennis M.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
level shifter;
subthreshold;
21.
Power reduction in on-chip interconnection network by serialization
机译:
通过串行化降低片上互连网络的功耗
作者:
Arvind Madan
;
Amrutur Bharadwaj
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
double pumping;
low power interconnect;
serialization;
22.
O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors
机译:
O2C:在高性能有序微处理器中偶尔进行两个周期的动态热管理操作
作者:
Ghosh Swaroop
;
Choi Jung-Hwan
;
Ndai Patrick
;
Roy Kaushik
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
adaptive clocking;
adaptive thermal management;
in-order processor;
23.
Low power high bandwidth amplifier with RC Miller and gain enhanced feedforward compensation
机译:
具有RC Miller的低功率高带宽放大器,并具有增强的前馈补偿
作者:
Bajoria Shagun
;
Singh Vineet Kumar
;
Kunde Raju
;
Parikh Chetan D.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
CMOS amplifier;
frequency compensation;
miller compensation;
nulling resistor;
process variations;
transconductance amplifier;
24.
Design of dual threshold voltages asynchronous circuits
机译:
双阈值电压异步电路的设计
作者:
Ghavami Behnam
;
Pedram Hossein
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
asynchronous circuits;
dual threshold voltage;
genetic quantum algorithm;
leakage power;
timed petri-net;
25.
Analytical results for design space exploration of multi-core processors employing thread migration
机译:
使用线程迁移的多核处理器的设计空间探索的分析结果
作者:
Rao Ravishankar
;
Vrudhula Sarma
;
Berezowski Krzysztof
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
analytical;
leakage dependence on temperature;
thermal management;
thermal model;
thread migration;
throttling;
throughput;
26.
A physical level study and optimization of CAM-based checkpointed register alias table
机译:
基于CAM的检查点寄存器别名表的物理层研究和优化
作者:
Safi Elham
;
Moshovos Andreas
;
Veneris Andreas
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
checkpointing;
energy;
latency;
register renaming;
27.
Variability of flip-flop timing at sub-threshold voltages
机译:
亚阈值电压下触发器时序的可变性
作者:
Lotze Niklas
;
Ortmanns Maurits
;
Manoli Yiannos
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
energy;
flip-flop;
modeling;
sub-threshold circuit;
timing;
variability;
28.
Low power current mode receiver with inductive input impedance
机译:
具有电感输入阻抗的低功耗电流模式接收器
作者:
Dave Marshnil
;
Baghini Maryam Shojaei
;
Sharma Dinesh
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
beta multiplier;
current mode signaling;
global interconnects;
inductive peaking;
29.
Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits
机译:
通过考虑双Vt合成电路中温度反转的相关性来降低泄漏功率
作者:
Calimera Andrea
;
Bahar R. Iris
;
Macii Enrico
;
Poncino Massimo
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
logic synthesis;
multi-Vt;
temperature-aware;
30.
On the power efficiency of cascode compensation over Miller compensation in two-stage operational amplifiers
机译:
两级运算放大器中级联补偿优于米勒补偿的功率效率
作者:
Aminzadeh Hamed
;
Mafinezhad Khalil
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
Miller compensation;
cascode compensation;
frequency compensation;
low-power;
power efficiency;
stability;
two-stage operational amplifiers;
31.
A framework for energy consumption based design space exploration for wireless sensor nodes
机译:
基于能耗的无线传感器节点设计空间探索框架
作者:
Chouhan Sonali
;
Balakrishnan M.
;
Bose Ranjan
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
computation-radio energy trade-off;
energy models;
error control codes;
low energy;
wireless sensor networks;
32.
Full-system chip multiprocessor power evaluations using FPGA-based emulation
机译:
使用基于FPGA的仿真进行全系统芯片多处理器功率评估
作者:
Bhattacharjee Abhishek
;
Contreras Gilberto
;
Martonosi Margaret
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
activity migration;
full-system fpga-based emulation;
power models;
33.
Hybrid dynamic thermal management based on statistical characteristics of multimedia applications
机译:
基于多媒体应用统计特性的混合动态热管理
作者:
Yeo Inchoon
;
Kim Eun Jung
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
DVFS;
dynamic thermal management;
multimedia applications;
thermal model;
34.
Simultaneous optimization of battery-aware voltage regulator scheduling with dynamic voltage and frequency scaling
机译:
具有动态电压和频率缩放功能的电池感知稳压器调度同时优化
作者:
Cho Youngjin
;
Kim Younghyun
;
Joo Yongsoo
;
Lee Kyungsoo
;
Chang Naehyuck
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dynamic voltage and frequency scaling;
regulator;
scheduling;
35.
Expected system energy consumption minimization in leakage-aware DVS systems
机译:
预期泄漏感知的DVS系统中的系统能耗最小
作者:
Chen Jian-Jia
;
Thiele Lothar
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dynamic voltage scaling (dvs);
expected energy consumption minimization;
leakage-aware scheduling;
probability;
36.
Thread fusion
机译:
线程融合
作者:
González José
;
Cai Qiong
;
Chaparro Pedro
;
Magklis Grigorios
;
Rakvic Ryan
;
González Antonio
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
chip multi-processor;
computer architecture;
energy-aware;
in-order pipeline;
low-power;
microarchitecture;
multi-threaded application;
thread fusion;
37.
Power-efficient clustering via incomplete bypassing
机译:
通过不完全旁路实现节能集群
作者:
Villasenor Eric P.
;
Seo DaeHo
;
Thottethodi Mithuna S.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
clustering;
incomplete bypass;
power;
voltage scaling;
38.
Instruction-driven clock scheduling with glitch mitigation
机译:
具有减轻毛刺的指令驱动时钟调度
作者:
Wei Gu-Yeon
;
Brooks David
;
Khan Ali Durlov
;
Liang Xiaoyao
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
clock gating;
floating point unit;
glitch power;
low power;
39.
A 1-V piecewise curvature-corrected CMOS bandgap reference
机译:
1V分段曲率校正的CMOS带隙基准
作者:
Li Jing-hu
;
Fu Yu-nan
;
Wang Yong-sheng
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
PSRR;
bandgap reference;
line regulation;
piecewise curvature-corrected;
temperature coefficient;
40.
A 1.8/2.4-ghz dualband cmos low noise amplifier using miller capacitance tuning
机译:
使用米勒电容调整功能的1.8 / 2.4 GHz双频cmos低噪声放大器
作者:
Balemarthy Depak
;
Paily Roy
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dual-band LNA;
gain;
impedance matching;
inductively source degenerated LNA;
low noise amplifier (LNA);
noise figure;
41.
Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies
机译:
具有可扩展电源电压和频率的低功率短距离光电收发器前端的设计
作者:
Chen Xuning
;
Wei Gu-Yeon
;
Peh Li-Shiuan
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dynamic voltage and frequency scaling;
interconnection networks;
optical transceiver;
voltage-controlled inductive load;
42.
Word-interleaved cache: an energy efficient data cache architecture
机译:
字交错缓存:节能的数据缓存架构
作者:
Kalyan T. Venkata
;
Mutyam Madhu
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
cache;
low power techniques;
offset based decoding;
43.
Optimal power and noise allocation for analog and digital sections of a low power radio receiver
机译:
低功率无线电接收机的模拟和数字部分的最佳功率和噪声分配
作者:
Sankaragomathi Kannan Aryaperumal
;
Sahoo Manodipan
;
Dwivedi Satyam
;
Amrutur Bharadwaj S.
;
Bhat Navakanta
会议名称:
《》
|
2008年
关键词:
low power design;
optimization;
rf receivers;
44.
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes
机译:
用于IEEE 802.11n LDPC码的LDPC解码器实现的低功耗分层解码架构
作者:
Jin Jie
;
Tsui Chi-Ying
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low power;
low-density parity-check code;
thresholding;
45.
A secure and low-energy logic style using charge recovery approach
机译:
使用电荷恢复方法的安全且低能耗的逻辑样式
作者:
Khatir Mehrdad
;
Moradi Amir
;
Ejlali Alireza
;
Manzuri Shalmani Mohammad T.
;
Salmasizadeh Mahmoud
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
cell level DPA-countermeasure;
charge recovery logic;
differential power analysis;
side-channel attack;
46.
Enhancing energy efficiency of processor-based embedded systems through post-fabrication ISA extension
机译:
通过后制造ISA扩展提高基于处理器的嵌入式系统的能源效率
作者:
Noori Hamid
;
Mehdipour Farhad
;
Inoue Koji
;
Murakami Kazuaki
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
conditional execution;
custom instruction;
low energy embedded processor;
reconfigurable functional unit;
47.
Energy-efficient MESI cache coherence with pro-active snoop filtering for multicore microprocessors
机译:
节能的MESI缓存一致性和主动式侦听过滤功能,适用于多核微处理器
作者:
Patel Avadh
;
Ghose Kanad
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
cache coherence;
multicore microprocessor;
48.
Thermal analysis of 8-T SRAM for nano-scaled technologies
机译:
用于纳米技术的8-T SRAM的热分析
作者:
Meterelliyoz Mesut
;
Kulkarni Jaydeep P.
;
Roy Kaushik
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
8T-SRAM;
compact thermal models;
leakage;
noise robustness;
thermal analysis;
variable keeper;
49.
Analyzing static and dynamic write margin for nanometer SRAMs
机译:
分析纳米SRAM的静态和动态写入裕量
作者:
Wang Jiajing
;
Nalam Satyanand
;
Calhoun Benton H.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
SRAM;
VCCmin;
dynamic noise margin;
reliability;
static noise margin;
variation;
write margin;
50.
Error-resilient low-power Viterbi decoders
机译:
容错的低功耗Viterbi解码器
作者:
Abdallah Rami A.
;
Shanbhag Naresh R.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
algorithmic noise tolerance;
error resilient;
low power;
process variations;
viterbi;
voltage overscaling;
51.
Increasing minimum operating voltage (VDDmin) with number of CMOS logic gates and experimental verification with up to 1Mega-stage ring oscillators
机译:
通过CMOS逻辑门数量增加最小工作电压(VDDmin),并通过多达1个兆级环形振荡器进行实验验证
作者:
Niiyama Taro
;
Piao Zhe
;
Ishida Koichi
;
Murakata Masami
;
Takamiya Makoto
;
Sakurai Takayasu
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
logic;
minimum operating voltage;
subthreshold;
variations;
52.
Reliability-centric gate sizing with simultaneous optimization of soft error rate, delay and power
机译:
以可靠性为中心的门选型,同时优化软错误率,延迟和功率
作者:
Bhattacharya Koustav
;
Ranganathan Nagarajan
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
gate sizing;
mathematical programming.;
multi-metric optimization;
soft-error;
53.
Variation-aware gate sizing and clustering for post-silicon optimized circuits
机译:
硅后优化电路的变化感知门大小确定和聚类
作者:
Zhuo Cheng
;
Blaauw David
;
Sylvester Dennis
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
body bias;
clustering;
optimization;
sizing;
variation;
54.
SRAM methodology for yield and power efficiency: per-element selectable supplies and memory reconfiguration schemes
机译:
用于良率和功率效率的SRAM方法:每个单元的可选电源和存储器重新配置方案
作者:
Kanj Rouwaida
;
Joshi Rajiv
;
Li Zhuo
;
Kuang JB
;
Ngo Hung
;
Zhou Ying
;
Shi Weiping
;
Nassif Sani
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
SRAM;
cover algorithm;
memory reconfiguration;
power;
redundancy;
vritual supply;
yield;
55.
Row/column redundancy to reduce SRAM leakage in presence of random within-die delay variation
机译:
行/列冗余可在存在随机晶粒内延迟变化的情况下减少SRAM泄漏
作者:
Goudarzi Maziar
;
Ishihara Tohru
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
SRAM;
delay variation;
leakage power;
power reduction;
process variation;
random variation;
redundancy;
spare column;
spare row;
56.
Entry control in network-on-chip for memory power reduction
机译:
片上网络中的入口控制,可降低存储器功耗
作者:
Lee Dongwook
;
Yoo Sungjoo
;
Choi Kiyoung
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
entry control;
memory;
network-on-chip(noc);
power reduction;
57.
PowerAntz: distributed power sharing strategy for network on chip
机译:
PowerAntz:片上网络的分布式功率共享策略
作者:
Mandal Suman Kalyan
;
Mahapatra Rabi N.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
ant system;
distributed power management;
network-on-chip;
58.
Extending the lifetime of media recorders constrained by battery and flash memory size
机译:
受电池和闪存大小限制,延长了媒体记录器的使用寿命
作者:
Kim Younghyun
;
Cho Youngjin
;
Chang Naehyuck
;
Chakrabarti Chaitali
;
Cho Nam Ik
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dynamic voltage scaling;
multimedia;
passive voltage scaling;
59.
Proactive temperature management in MPSoCs
机译:
MPSoC中的主动温度管理
作者:
Coskun Ayse Kivilcim
;
Rosing Tajana Simunic
;
Gross Kenny C.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
ARMA;
multiprocessor;
thermal management;
60.
3-tier dynamically adaptive power-aware motion estimator for h.264/AVC video encoding
机译:
用于h.264 / AVC视频编码的3层动态自适应功率感知运动估计器
作者:
Shafique Muhammad
;
Bauer Lars
;
Henkel Jörg
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
H.264;
MPEG-4 avc;
early termination;
low complexity;
low power;
motion estimation;
run-time adaptation;
search pattern;
61.
Energy conservation by adaptive feature loading for mobile content-based image retrieval
机译:
通过自适应特征加载实现基于移动内容的图像检索的节能
作者:
Kumar Karthik
;
Nimmagadda Yamini
;
Hong Yu-Ju
;
Lu Yung-Hsiang
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
adaptive feature reduction;
energy saving;
mobile content-based image retrieval;
similarity index;
62.
Caching for bursts (C-Burst): let hard disks sleep well and work energetically
机译:
缓存突发(C突发):让硬盘良好睡眠并精力充沛地工作
作者:
Chen Feng
;
Zhang Xiaodong
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
buffer caches;
energy saving;
hard disk;
power management;
63.
Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction
机译:
多个电源门控域(multi-VGND)架构可改善泄漏功率降低
作者:
Sathanur Ashoka
;
Benini Luca
;
Macii Alberto
;
Macii Enrico
;
Poncino Massimo
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
clustering;
leakage power;
power-gating;
row-based;
sleep transistor;
standard cell;
64.
Power-gating-aware high-level synthesis
机译:
功率门控高级综合
作者:
Choi Eunjoo
;
Shin Changsik
;
Kim Taewhan
;
Shin Youngsoo
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
high-level synthesis;
leakage;
power-gating;
65.
A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizing
机译:
大规模离散双Vt分配和连续门调整的并行和随机算法
作者:
Wu Tai-Hsuan
;
Xie Lin
;
Davoodi Azadeh
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
large-scale mixed-mode optimization;
power optimization;
66.
Dynamic virtual ground voltage estimation for power gating
机译:
用于电源门控的动态虚拟接地电压估算
作者:
Xu Hao
;
Vemuri Ranga
;
Jone Wen-Ben
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
leakage power consumption;
power gating;
virtual ground voltage;
67.
A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops
机译:
利用软边触发器为最佳流水线设计提供动力的数学解决方案
作者:
Ghasemazar Mohammad
;
Amelifard Behnam
;
Pedram Massoud
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low-power microprocessor design;
quadratic programming;
soft edge flip-flop;
synchronous pipelines;
voltage scaling;
68.
Post-silicon programmed body-biasing platform suppressing device variability in 45 nm CMOS technology
机译:
硅后编程的身体偏置平台可抑制45 nm CMOS技术中的器件差异
作者:
Suzuki Hiroaki
;
Kurimoto Masanori
;
Yamanaka Tadao
;
Takata Hidehiro
;
Makino Hiroshi
;
Shinohara Hirofumi
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
body-biasing;
device variability suppression;
leakage current reduction;
post-silicon programming;
69.
Enhancing beneficial jitter using phase-shifted clock distribution
机译:
使用相移时钟分配来增强有益的抖动
作者:
Jiao Dong
;
Gu Jie
;
Jain Pulkit
;
Kim Chris
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
clock jitter;
resonant supply noise;
70.
Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits
机译:
90nm亚阈值电路中带体偏压的晶体管变异模型与振荡频率的相关性验证。
作者:
Fuketa Hiroshi
;
Hashimoto Masanori
;
Mitsuyama Yukio
;
Onoye Takao
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
body biasing;
manufacturing variability;
subthreshold circuit;
71.
Optimal technology selection for minimizing energy and variability in low voltage applications
机译:
最佳技术选择,可将低压应用中的能量和可变性降至最低
作者:
Seok Mingoo
;
Sylvester Dennis
;
Blaauw David
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
low voltage operation;
optimal technology;
72.
An expected-utility based approach to variation aware VLSI optimization under scarce information
机译:
在稀缺信息下基于预期效用的VLSI优化感知方法
作者:
Gupta Upavan
;
Ranganathan Nagarajan
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
crosstalk noise;
delay;
gate sizing;
power minimization;
process variations;
utility theory;
73.
Reducing wakeup latency and energy of MTCMOS circuits via keeper insertion
机译:
通过保持器插入减少MTCMOS电路的唤醒延迟和能量
作者:
Akl Charbel J.
;
Bayoumi Magdy A.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
leakage power;
mode transition;
power gating;
74.
Low-power high-accuracy timing systems for efficient duty cycling
机译:
低功耗高精度计时系统,可实现高效占空比
作者:
Schmid Thomas
;
Friedman Jonathan
;
Charbiwala Zainul
;
Cho Young H.
;
Srivastava Mani B.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
clocks;
emulation;
low-power clocks;
oscillator;
time synchronization;
75.
A multi-story power delivery technique for 3D integrated circuits
机译:
3D集成电路的多层供电技术
作者:
Jain Pulkit
;
Kim Tae-Hyoung
;
Keane John
;
Kim Chris H.
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
3D chip;
multi-story;
power delivery;
power supply noise;
76.
Energy harvesting photodiodes with integrated 2D diffractive storage capacitance
机译:
具有集成2D衍射存储电容的能量收集光电二极管
作者:
Guilar Nathaniel J.
;
Fong Erin G.
;
Kleeburg Travis
;
Yankelevich Diego R.
;
Amirtharajah Rajeevan
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
diffraction;
energy harvesting;
photodiode;
77.
Impact of dynamic voltage and frequency scaling on the architectural vulnerability of GALS architectures
机译:
动态电压和频率缩放对GALS架构的架构脆弱性的影响
作者:
Soundararajan Niranjan
;
Vijaykrishnan Narayanan
;
Sivasubramaniam Anand
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
microarchitecture;
multi-clocked domains;
soft errors;
78.
Noninvasive leakage power tomography of integrated circuits by compressive sensing
机译:
压缩感知的集成电路无创泄漏功率层析成像
作者:
Shamsi Davood
;
Boufounos Petros
;
Koushanfar Farinaz
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
leakage current;
post-silicon characterization;
process variations;
79.
Lazy instruction scheduling: keeping performance, reducing power
机译:
延迟指令调度:保持性能,降低功耗
作者:
Mahjur Ali
;
Taghizadeh Mahmud
;
Jahangir Amir Hossein
会议名称:
《ACM/IEEE International Symposium on Low Power Electronics and Design》
|
2008年
关键词:
dead instruction elimination;
dynamic instruction scheduling;
microarchitecture;
意见反馈
回到顶部
回到首页