掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Alternative lithographic technologies II
Alternative lithographic technologies II
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
信息产业报道
电力电子
信息空间
飞通光电子技术
通信与计算技术
数据采集与处理
雷达学报
电子经理世界
江苏通信
电子器件
更多>>
相关外文期刊
IETE Technical Review
Display Technology, Journal of
CED
Progress in Quantum Electronics
Semiconductor Times
International journal of electronics and telecommunications
IEEE Transactions on Reliability
Connector Specifier
Electronic Servicing & Technology
International Journal of ICT Research and Development in Africa
更多>>
相关中文会议
第二届全国信息与电子工程学术交流会暨第十三届四川省电子学会曙光分会学术年会
第十七届全国混合集成电路学术会议
第四届全国纳米材料会议
第五届中国信息和通信安全学术会议
第十六届全国信号处理学术年会及产业发展大会
2010年北京通信学会无线及移动通信研讨会
中国电子学会第十三届青年学术年会
中国通信学会通信管理委员会第29届学术研讨会
浙江省电子学会2011学术年会
2007台达电力电子新技术研讨会
更多>>
相关外文会议
Organic semiconductors in sensors and bioelectronics IV
2001 International Microprocesses and Nanotechnology Conference, Oct 31-Nov 2, 2001, Shimane, Japan
Laser resonators, microresonators, and beam control XIX
Charge-Coupled Devices and Solid State Optical Sensors III
Luminescence and display materials: fundamentals and applications (in honor of Hajime Yamamoto)
Advanced laser processing and manufacturing
Integrated optoelectronics 7
Conference on Micromachining and Microfabrication Process Technology; 20080122-23; San Jose,CA(US)
Terahertz emitters, receivers, and applications IV
Sensors, Actuators, and Microsystems(General)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Directed assembly of block copolymers on lithographically defined surfaces
机译:
在光刻定义的表面上定向组装嵌段共聚物
作者:
Gordon S.W. Craig
;
rnPaul. F. Nealey
会议名称:
《Alternative lithographic technologies II》
|
2010年
2.
Full-chip high resolution electron-beam lithography proximity effect correction modeling
机译:
全芯片高分辨率电子束光刻邻近效应校正建模
作者:
Artak Isoyan
;
rnLawrence S. Melvin III
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam lithography;
proximity correction;
modeling;
3.
Biomolecular Architectures and Systems for Nanoscience Engineering
机译:
纳米科学工程的生物分子架构和系统
作者:
Jennifer N. Cha
;
rnAlbert M. Hung
;
rnHyunwoo Noh
会议名称:
《Alternative lithographic technologies II》
|
2010年
4.
Conventional and reversed image printing in electron beam direct write lithography with proximity effect corrections based on dose and shape modification
机译:
基于剂量和形状修改的邻近效应校正的电子束直接写入光刻中的常规和反向图像打印
作者:
Kang-Hoon Choi
;
rnManuela Gutsch
;
rnMartin Freitag
;
rnKatja Keil
;
rnPhilipp Jaschinsky
;
rnChristoph Hohle
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam direct write;
proximity effect correction;
dose/shape modification;
image reversal;
5.
Field-based Simulations of Directed Self-Assembly in a Mixed Brush System
机译:
混合电刷系统中定向自组装的基于现场的模拟
作者:
Su-Mi Hur
;
rnAmalie L. Frischknecht
;
rnDale Huber
;
rnGlenn H. Fredrickson
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
mixed brush;
self-consistent field theory (SCFT);
graphoepitaxy;
grafting density;
directed self-assembly;
6.
Electron-beam directed materials assembly
机译:
电子束定向材料组装
作者:
Richard P. Kingsborough
;
rnRussell B. Goodman
;
rnDavid Astolfi
;
rnTheodore H. Fedynyshyn
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
block copolymer;
directed self-assembly;
7.
A Novel Lithography Process for 3D (Three-dimensional) Interconnect Using an Optical Direct-writing Exposure System
机译:
使用光学直写曝光系统进行3D(三维)互连的新型光刻工艺
作者:
T.Azuma
;
rnM.Sekiguchi
;
rnM.Matsuo
;
rnA. Kawasaki
;
rnK.Hagiwara
;
rnH.Matsui
;
rnN.Kawamura
;
rnK.Kishimoto
;
rnA.Nakamura
;
rnY.Washio
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
3D (three-dimensional) interconnect;
optical direct-writing;
infra-red alignment system;
8.
Adhesion of DNA Nanostructures and DNA Origami to lithographically patterned self-assembled monolayers on Si100
机译:
DNA纳米结构和DNA折纸对Si上光刻图案化的自组装单层的粘附100
作者:
Koshala Sarveswaran
;
rnBo Gao
;
rnKyoung Nan Kim
;
rnGary H. Bernstein
;
rnMarya Lieberman
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam lithography;
DNA;
origami;
x-ray photoelectron spectroscopy;
self-assembled monolayer;
siloxane;
9.
Multi Shaped Beam Proof of Lithography
机译:
多形光刻光刻
作者:
Matthias Slodowski
;
rnHans-Joachim Doering
;
rnWolfgang Dorl
;
rnInes A.Stolberg
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
variable shaped beam;
VSB;
multi shaped beam;
MSB;
electron beam lithography;
maskless;
mask making;
direct write;
10.
Flying Plasmonic Lens at Near Field for High Speed Nano-lithography
机译:
在近场飞行等离子透镜进行高速纳米光刻
作者:
Liang Pan
;
rnYong-Shik Park
;
rnYi Xiong
;
rnErick Ulin-Avila
;
rnLi Zeng
;
rnCheng Sun
;
rnDavid B. Bogy
;
rnXiang Zhang
会议名称:
《Alternative lithographic technologies II》
|
2010年
11.
Planarizing Material for Reverse-Tone Step and Flash Imprint Lithography
机译:
反音阶跃和闪光压印光刻的平面化材料
作者:
Tsuyoshi Ogawa
;
rnSatoshi Takei
;
rnB. Michael Jacobsson
;
rnRyan Deschner
;
rnWilliam Bell
;
Michael W. Lin
;
rnYuji Hagiwara
;
rnMakoto Hanabata
;
rnC. Grant Willson
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
planarization;
UV cure;
siloxane;
imprint;
S-FIL/R;
epoxy;
12.
E-beam Mask-less Lithography : prospects and challenges
机译:
电子束无掩模光刻:前景与挑战
作者:
Kurt Ronse
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
E-beam;
mask-less lithography;
ML2;
next generation lithography;
13.
Maskless Lithography and Nanopatterning with Electron and Ion Multi-Beam Projection
机译:
电子和离子多束投影的无掩模光刻和纳米构图
作者:
Elmar Platzgummer
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
multi-beam;
mask writing;
template writing;
direct write;
electron beam projection optics;
ion beam projection optics;
programmable aperture plate system;
14.
Step and Flash Imprint Lithography for Semiconductor High Volume Manufacturing?
机译:
用于半导体大批量生产的阶梯式和闪存压印光刻技术?
作者:
M. Malloy
;
rnL. C. Lift
会议名称:
《》
|
2010年
关键词:
nanoimprint;
NIL;
step and flash;
SFIL;
HVM;
UV-NIL;
15.
Self-Assembling Materials for Lithographic Patterning: Overview, Status and Moving Forward
机译:
用于光刻图案的自组装材料:概述,现状和发展方向
作者:
William Hinsberg
;
rnJoy Cheng
;
rnHo-Cheol Kim
;
rnDaniel P. Sanders
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
self assembly;
directed self assembly;
block copolymers;
16.
Evaluation of maskless electron beam direct writing with double character projection apertures
机译:
具有双字符投影孔的无掩模电子束直接写入的评估
作者:
Y. Midoh
;
rnT. Terasaka
;
rnK. Nakamae
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam direct writing;
maskless lithography;
character projection;
throughput enhancement;
17.
Design and Fabrication of Si-based photonic crystal stamps with Electron Beam Lithography (EBL)
机译:
电子束光刻技术在硅基光子晶体印模的设计与制作
作者:
Reihaneh Jannesary
;
rnIris Bergmair
;
rnSaeid Zamiri
;
rnKurt Hingerl
会议名称:
《Alternative lithographic technologies II》
|
2010年
18.
Monte Carlo Modelling of BSE Reflection in E-Beam Writers
机译:
电子束作者中BSE反射的蒙特卡洛建模
作者:
H. Alves
;
rnK.-P. Johnsen
;
rnP. Hahmann
;
rnD. Gnieser
;
rnC.G. Frase
;
rnH. Bosse
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
back-scattered electrons;
electron beam lithography;
monte carlo simulation;
19.
Nano imprint Template Fabrication using wafer pattern for sub-30nm
机译:
使用低于30nm的晶圆图案制作纳米压印模板
作者:
C. M. Park
;
rnK. J. Kim
;
rnY. J. Lee
;
rnK. Y. Cho
;
rnY. M. Lee
;
rnJ. O. Park
;
rnIn S. Kim
;
J. H. Yeo
;
rnS. W. Choi
;
rnC. H. Park
;
rnD. H. Lee
;
rnB. K. Lee
;
rnS. W. Hwang
会议名称:
《Alternative lithographic technologies II》
|
2010年
20.
Full Area Pattern Decomposition of Self-Aligned Double Patterning for 30nm Node NAND FLASH Process
机译:
用于30nm节点NAND FLASH工艺的自对准双图案的全面积图案分解
作者:
Yi-Shiang Chang
;
rnJason Sweis
;
rnJun-Cheng Lai
;
rnChia-Chi Lin
;
rnJonathan Yu
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
30nm half-pitch NAND FLASH;
self-aligned double patterning (SADP);
decomposition;
ArF lithography;
automation;
full-chip;
21.
Lossless Compression Algorithm for REBL Direct-Write E-Beam Lithography System
机译:
REBL直写式电子束光刻系统的无损压缩算法
作者:
George Cramer
;
rnHsin-I Liu
;
rnAvideh Zakhor
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
GC3;
C4;
lossless compression;
segmentation;
data path;
REBL;
direct-write;
maskless;
lithography;
22.
Model-Based Proximity Effect Correction for Electron-Beam-Direct-Write Lithography
机译:
电子束直接写入光刻的基于模型的邻近效应校正
作者:
Chun-Hung Liu
;
rnPei-Lin Tien
;
rnPhilip C. W. Ng
;
rnYu-Tian Shen
;
rnKuen-Yu Tsai
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam lithography;
proximity effect correction;
23.
A First Order Analysis of Scatterometry Sensitivity for NIL Process
机译:
NIL过程散射法灵敏度的一阶分析
作者:
Takahiro Miyakawa
;
rnKoich Sentoku
;
rnKazuhiro Sato
;
rnHideki Ina
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
nano imprint lithography;
residual layer thickness;
scatterometry;
CD measurement;
24.
Monitor and self-diagnostic technology for mask e-beam writing system (2)
机译:
掩模电子束书写系统的监视和自诊断技术(2)
作者:
N. Samoto
;
rnH. Manabe
;
rnO. Wakimoto
;
rnS. Iida
;
rnH. Hoshi
;
rnM. Yamabe
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
monitor;
self-diagnostic;
mask;
e-beam;
circumstances;
25.
Direct Write 3-Dimensional Nanopatterning using Probes
机译:
使用探针的直接写入3维纳米图案
作者:
David Pires
;
rnArmin Knoll
;
rnUrs Duerig
;
rnUte Drechsler
;
rnMichel Despont
;
rnHeiko Wolf
;
rnJames Hedrick
;
rnEkmini DeSilva
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
polymeric materials;
probe lithography;
three dimensional patterning;
26.
Characteristics Performance of Production-Worthy Multiple-E-Beam Maskless Lithography
机译:
值得生产的多电子束无掩模光刻技术的性能
作者:
S.J. Lin
;
rnW.C. Wang
;
rnP.S. Chen
;
rnC.Y.Liu
;
rnT.N.Lo
;
rnJack J.H. Chen
;
rnFaruk Krecinic
;
rnBurn J. Lin
会议名称:
《Alternative lithographic technologies II》
|
2010年
27.
Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems
机译:
直写光刻系统的Block GC3无损压缩算法的硬件实现
作者:
Hsin-I Liu
;
rnBrian Richards
;
rnAvideh Zakhor
;
rnBorivoje Nikolic
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
block GC3;
lossless compression;
hardware implementation;
data path;
direct-write lithography;
28.
Automating Molecular Transfer Lithography at 25nm on 200mm Wafers, Including Site-Remote Coating of Resist on Dissolvable Templates
机译:
在200mm晶圆上以25nm自动进行分子转移光刻,包括在可溶解模板上进行抗蚀剂的位点远程涂覆
作者:
Charles D. Schaper
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
molecular transfer lithography;
semiconductor lithography;
dissolvable templates;
polyvinyl alcohol;
29.
Throughput enhancement technique for MAPPER maskless lithography
机译:
MAPPER无掩模光刻的吞吐量增强技术
作者:
M.J. Wieland
;
rnH. Derks
;
rnH. Gupta
;
rnT. van de Peut
;
rnF.M. Postma
;
rnA.H.V. van Veen
;
rnY. Zhang
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
MAPPER;
maskless;
electron beam;
lithography;
throughput;
parallel;
30.
Operation and performance of the CNSE Vistec VB300 electron beam lithography system
机译:
CNSE Vistec VB300电子束光刻系统的操作和性能
作者:
J. G. Hartley
;
rnT.R. Groves
;
rnR. Bonam
;
rnA. Raghunathan
;
rnJ. Ruan
;
rnA. McClelland
;
rnN. Crosland
;
rnJ. Cunanan
;
rnK. Han
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam;
electron beam lithography;
lithography;
31.
Investigation of Lithographic Feature Characteristics Using UV Cure as a Pitch Doubling Stabilization Technology for the 32nm Node and Beyond
机译:
使用UV固化作为32nm节点及更高节点间距加倍稳定技术的光刻特征研究
作者:
Karen Petrillo
;
rnMatthew Colburn
;
rnShannon Dunn
;
rnDave Hetzer
;
rnTom Winter
;
rnSatoru Shimura
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
double patterning;
pitch doubling;
LFLE;
LLE;
UV cure;
thermal cure;
chemical freeze;
32.
Architecture for next generation massively parallel maskless lithography system (MPML2)
机译:
下一代大规模并行无掩模光刻系统(MPML2)的体系结构
作者:
Ming-Shing Su
;
rnKuen-Yu Tsai
;
rnYi-Chang Lu
;
Yu-Hsuan Kuo
;
rnTing-Hang Pei
;
rnJia-Yush Yen
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron-beam;
maskless lithography;
massive parallelism;
beamlet array cell;
MPML2;
33.
50 keV electron-beam projection maskless lithography (PML2): results obtained with 2,500 programmable 12.5-nm sized beams
机译:
50 keV电子束投影无掩模光刻(PML2):用2500条可编程12.5 nm大小的光束获得的结果
作者:
Christof Klein
;
rnJan Klikovits
;
rnLaszlo Szikszai
;
rnElmar Platzgummer
;
rnHans Loeschner
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
multi-beam;
maskless lithography;
electron beam projection optics;
programmable aperture plate system;
34.
High Volume Jet and Flash Imprint Lithography for Discrete Track Patterned Media
机译:
适用于离散轨道图案化介质的大容量喷射和闪存压印光刻
作者:
Zhengmao Ye
;
rnRick Ramos
;
rnCynthia Brooks
;
rnPaul Hellebrekers
;
rnScott Carden
;
rnDwayne LaBrake
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
imprint lithography;
template;
discrete track recording;
adhesion promoter;
J-FIL;
jet and flash imprint lithography;
35.
CP based EBDW throughput enhancement for 22nm high volume manufacturing
机译:
基于CP的EBDW吞吐量提高,可用于22nm大批量生产
作者:
Takashi Maruyama
;
rnY.Machida
;
rnS.Sugatani
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
EBDW;
22nm;
character projection;
MCC;
multi-beam;
cluster;
shot count;
resist sensitivity;
36.
High throughput plasmonic lithography for sub 50nm patterning with a contact probe
机译:
高通量等离子平版印刷术,用于使用接触式探针进行50nm以下的图案化
作者:
Yongwoo Kim
;
rnSeok Kim
;
rnHowon Jung
;
rnJae W. Hahn
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
nano lithography;
plasmonic lithography;
near-field optical lithography;
nanostructure fabrication;
surface plasmon;
plasmonics;
37.
SLICE Image Analysis for Diblock Copolymer Characterization and Process Optimization
机译:
用于二嵌段共聚物表征的SLICE图像分析和工艺优化
作者:
Yang Hong
;
rnLi-Wen Chang
;
rnAlbert Lin
;
rnH.-S. Philip Wong
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
block copolymer;
self-assembly;
image analysis;
metrology;
design rules;
image processing;
38.
Advances in roll-to-roll imprint lithography for display applications
机译:
用于显示应用的卷对卷压印光刻技术的进展
作者:
Albert Jeans
;
rnMarcia Almanza-Workman
;
rnRobert Cobene
;
rnRichard Elder
;
rnRobert Garcia
;
Fernando Gomez-Pancorbo
;
rnWarren Jackson
;
rnMehrban Jam
;
rnHan-Jun Kim
;
rnOhseung Kwon
;
rnHao Luo
;
rnJohn Maltabes
;
rnPing Mei
;
rnCraig Perlov
;
rnMark
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
imprint lithography;
roll-to-roll;
R2R;
self-aligned imprint lithography;
SAIL;
39.
Tip-Based Nanofabrication: An Approach to True Nanotechnology
机译:
基于尖端的纳米加工:真正的纳米技术
作者:
Adam R. Schofield
;
rnKristen P. Bloschock
;
rnThomas W. Kenny
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
nanotechnology;
tip-based fabrication;
40.
Surface Electron Emission Lithography System based on a planar type Si nanowire array ballistic electron source
机译:
基于平面型硅纳米线阵列弹道电子源的表面电子发射光刻系统
作者:
A Kojima
;
rnT. Ohta
;
rnH. Ohyi
;
rnN. Koshida
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
ballistic electron transport;
silicon nanowire;
silicon quantum dot;
quantum confinement effect;
minibandgap;
parallel EB lithography;
41.
Performance evaluation of MOSFETs with discrete dopant distribution by one-by-one doping method
机译:
通过一对一掺杂方法评估具有离散掺杂剂分布的MOSFET的性能
作者:
T. Shinada
;
rnM. Hori
;
rnY. Ono
;
rnK. Taira
;
rnA. Komatsubara
;
rnT. Tanii
;
rnT. Endoh
;
rnI. Ohdomari
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
deterministic doping;
single ion implantation;
single atom doping;
ordered dopant arrays;
42.
Nanoprobe maskless lithography
机译:
纳米探针无掩模光刻
作者:
Ivo W. Rangelow
;
rnTzvetan Ivanov
;
rnYanko Sarov
;
rnAndreas Schuh
;
rnAndreas Frank
;
rnHans Hartmann
;
rnJens-Peter Zoellner
;
rnDeirdre L. Olynick
;
rnV. Kalchenko
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
scanning probe lithography (SPL);
atomic force microscopy;
nanofabrication;
self-assembled calaxarene monolayers;
43.
Fully automated hot embossing processes utilizing high resolution working stamps
机译:
利用高分辨率工作印章的全自动热压印工艺
作者:
T. Glinsner
;
rnT.Veres
;
rnG. Kreindl
;
rnE. Roy
;
rnK. Morton
;
rnT. Wieser
;
rnC. Thanner
;
rnD. Treiblmayr
;
rnR. Miller
;
rnP. Lindner
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
nanoimprint;
hot embossing;
polymer stamps;
microfluidic;
lab-on-chip;
44.
Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media
机译:
检验半导体和图案化介质的压印光刻图案
作者:
Douglas J. Resnick
;
rnGaddi Haase
;
rnLovejeet Singh
;
rnDavid Curran
;
rnGerard M. Schmid
;
rnKang Luo
;
rnCindy Brooks
;
rnKosta Selinidis
;
rnJohn Fretwell
;
rnS.V. Sreenivasan
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
jet and flash imprint lithography;
J-FIL;
imprint lithography;
imprint mask;
template;
defectivity;
optical inspection;
electron beam inspection;
45.
Self-assembling nanosphere lithography process for gated carbon nanotube field emission arrays
机译:
栅碳纳米管场发射阵列的自组装纳米球光刻工艺
作者:
Benjamin L. Crossley
;
rnRonald A. Coutu Jr.
;
rnLaVern A. Starman
;
rnPeter J. Collins
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
nanosphere lithography;
carbon nanotube arrays;
polystyrene spheres;
46.
CMOS Process Compatible Directed Block Copolymer Self-Assembly for 20 nm Contact Holes and Beyond
机译:
CMOS工艺兼容的定向嵌段共聚物自组装,可用于20 nm及以上的接触孔
作者:
Li-Wen Chang
;
rnXin-Yu Bao
;
rnH.-S. Philip Wong
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
lithography;
block copolymer;
self-assembly;
fabrication;
FET;
circuit;
47.
MAPPER: High throughput maskless lithography
机译:
MAPPER:高通量无掩模光刻
作者:
M.J. Wieland
;
rnG. de Boer
;
rnG.F. ten Berge
;
rnM. van Kervinck
;
rnR. Jager
;
rnJ.J.M. Peijster
;
rnE. Slot
;
S.W.H.K. Steenbrink
;
rnT.F. Teepen
;
rnB.J. Kampherbeek
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
MAPPER;
maskless;
lithography;
electron beam;
EBDW;
massively parallel;
48.
Multiple pass exposure in e-beam lithography -Application to the sub-22nm nodes
机译:
电子束光刻中的多次曝光-应用于22纳米以下的节点
作者:
L. Martin
;
rnS. Manakli
;
rnB. Icard
;
rnJ. Pradelles
;
rnR. Orobtchouk
;
rnA. Poncet
;
rnL. Pain
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam lithography;
process improvement;
resolution;
EBPC;
energy latitude;
SRAM;
49.
Evaluation of Throughput Improvement by MCC and CP in Multicolumn E-beam Exposure System
机译:
多列电子束曝光系统中MCC和CP改善吞吐量的评估
作者:
Akio Yamada
;
rnYoshihisa Oae
;
rnTatsuro Okawa
;
rnMasahiro Takizawa
;
rnMasaki Yamabe
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
electron beam exposure system;
multi column;
character projection;
exposure time;
throughput;
50.
Templated self-assembly of Si-containing block copolymers for nanoscale device fabrication
机译:
用于纳米级器件制造的含硅嵌段共聚物的模板化自组装
作者:
C.A. Ross
;
rnY.S. Jung
;
rnV.P. Chuang
;
rnJ.G. Son
;
rnK.W. Gotrik
;
rnR.A. Mickiewicz
;
rnJ.K.W. Yang
;
rnJ.B. Chang
;
rnK.K. Berggren
;
rnJ. Gwyther
;
rnI. Manners
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
block copolymer;
triblock terpolymer;
nanolithography;
self-assembly;
polydimethylsiloxane;
polyferrocenylsilane;
pattern transfer;
51.
High Volume Manufacturing of Nano Imprint Lithography produced devices: addressing the stamp supply challenge
机译:
纳米压印光刻生产设备的大批量生产:应对邮票供应挑战
作者:
Ye Zhou
;
rnMohamed Asbahi
;
rnGang Luo
;
rnTorbjoern Eriksson
;
rnShoko Yamada
;
rnPrasanna Venkatesh Krishnan
;
rnBabak Heidari
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
high volume manufacturing;
industrial manufacturing;
stamp;
stamp supply;
nano imprint lithography;
sindre 400;
patterned sapphire substrates;
high brightness LED;
52.
Maskless plasmonic lithography for patterning of one- and two-dimensional periodic features
机译:
一维和二维周期特征图案化的无掩模等离子光刻
作者:
V. M. Murukeshan
;
rnK. V. Sreekanth
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
surface plasmons;
nanolithography;
metals;
surface plasmon interference;
53.
Advances in DMD-Based UV Application Reliability Below 320nm
机译:
基于DMD的320nm以下紫外线应用可靠性的研究进展
作者:
Jonathan T. Fong
;
rnTom W. Winter
;
rnS. Josh Jacobs
会议名称:
《Alternative lithographic technologies II》
|
2010年
关键词:
DMD;
digital micromirror device;
maskless lithography;
PCB lithography;
spatial UV modulation;
deep UV reliability;
DLP?;
LCD repair;
意见反馈
回到顶部
回到首页