掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Metrology, Inspection, and Process Control for Microlithography XVI
Metrology, Inspection, and Process Control for Microlithography XVI
召开年:
2002
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An Alternative Approach for Direct APC using Scatterometry Data
机译:
使用散射数据直接APC的另一种方法
作者:
Boaz Brill
;
Yoel Cohen
;
Igor Turovets
;
Dario Elyasy
;
Tzevi Beatus
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
APC;
process control;
scatterometry;
lithography;
neural network;
2.
Die-scale wafer flatness: 3-dimensional imaging across 20 mm with nanometer-scale resolution
机译:
芯片级晶圆平整度:20毫米的3维成像,纳米级分辨率
作者:
Kirk Miller
;
David Fong
;
Dean Dawson
;
Bradley Todd
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
3.
Reducing CD-SEM Measurement Carryover Effect for 193nm Resist Processes Using CEq
机译:
使用CEq降低193nm抗蚀剂工艺的CD-SEM测量残留效应
作者:
Haiqing Zhou
;
Chih-Yu Wang
;
Aaron Zuo
;
Joe Pratt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
critical-dimension scanning electron microscopy (CD-SEM);
carryover;
193nm resist;
electron beam;
charge equalization (CEq);
4.
Three dimensional aspects of the shrinking phenomenon of ArF resist
机译:
ArF抗蚀剂收缩现象的三个方面
作者:
Ido Laufer
;
Guy Eytan
;
Ophir Dror
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
ArF resist;
resist shrinkage;
3D SEM-metrology;
5.
Evaluation of lithographic imaging performance by optical area measurement
机译:
通过光学面积测量评估光刻成像性能
作者:
I. Grodnensky
;
S. Mizutani
;
S. Slonaker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
exposure tool;
imaging performance;
critical dimension;
double-exposed marks;
mark area measurement;
mark image irradiance;
optical metrology;
6.
Tip characterization for CD-AFM: Getting to 2 run, 3 sigma
机译:
CD-AFM的针尖表征:达到2运行,3 sigma
作者:
Kirk Miller
;
Ami Chand
;
Greg Dahlen
;
Bradley Todd
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
7.
Defect Analysis in 157-nm Photolithography Process
机译:
157 nm光刻工艺中的缺陷分析
作者:
Shinya Hori
;
Osamu Miyahara
;
Yukio Kiba
;
Yuko Ono
;
Junichi Kitano
;
Seiro Miyoshi
;
Takamitsu Furukawa
;
Toshiro Itani
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
157-nm lithography resist;
defect;
high hydrophobicity;
thin film;
8.
Environmental Control for Lithography with 157-nm Exposure
机译:
157 nm曝光的光刻环境控制
作者:
Junichi Kitano
;
Yukio Kiba
;
Kouichiro Inazawa
;
Seiro Miyoshi
;
Hiroyuki Watanabe
;
Takamitsu Furukawa
;
Toshiro Itani
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
157 nm;
environmental control;
chemically amplified resist;
9.
Implementing Fully Automatic Macro Defect Detection and Classification System In High Production Semiconductor Fab
机译:
在高产量半导体工厂中实现全自动宏观缺陷检测和分类系统
作者:
Lloyd Lee
;
Michael Pham
;
David Pham
;
Manyam Khaja
;
Kathleen Hennessey
;
Juanita Miller
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
10.
Monte Carlo Simulation of SEM for Target with Complex Geometry
机译:
复杂几何目标的SEM蒙特卡罗模拟
作者:
Vadim Manuylov
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
electron scattering;
monte carlo simulation;
SEM measurement;
backscattering electrons;
11.
Overlay Excursion Monitoring using SEM Image
机译:
使用SEM图像进行叠加游览监控
作者:
Jeong-Ho Yeo
;
Kyoung Mo Yang
;
Jung Soo Kim
;
Young Seog Kang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
12.
Planarization for the Integration of CMOS and Micro Mirror Arrays
机译:
CMOS和微镜阵列集成的平面化
作者:
Yun Zheng
;
Mitra Dutta
;
Carl Kotecki
;
Chris Zincke
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
planarization;
BCB;
MEMS;
micro-mirror-array;
CMOS;
photolithography;
13.
Sensitivity of the Silicon Layer Thickness in SOI process in the UV Regime
机译:
紫外线条件下SOI工艺中硅层厚度的灵敏度
作者:
Deepak Shivaprasad
;
Ed Boltich
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
reflectometer;
ellipsometer;
SOI;
14.
Simplified Cusum Model for Automated Control of Fab Processes
机译:
用于Fab工艺自动控制的简化Cusum模型
作者:
John Todd Downing
;
Tracey Sorenson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
15.
Spectroscopic Ellipsometry Measurements with The Grating Division-of-Amplitude Photopolarimeter
机译:
光栅偏振分光光度计的光谱椭偏测量
作者:
Paul C. Nordine
;
D. Scott Hampton
;
Shankar Krishnan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
stokes meter;
polarimetry;
ellipsometry;
mueller matrix ellipsometry;
degree of polarization;
16.
A New CrossBeam Inspection Tool Combining an Ultrahigh Resolution Field emission SEM and a High Resolution FIB
机译:
结合超高分辨率场发射SEM和高分辨率FIB的新型CrossBeam检测工具
作者:
Peter Gnauck
;
Peter Hoffrogge
;
Jens Greiser
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
SEM;
FIB;
TEM;
semiconductor;
failure analysis;
device modification;
analysis;
inspection;
metrology;
deposition;
17.
Analysis of Feature Shape Variation Using Scatterometry
机译:
使用散射法分析特征形状变化
作者:
Patrick Schiavone
;
Vincent Farys
;
Andre Michallet
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
scatterometry;
ellipsometry;
metrology;
rigorous electromagnetic solver;
top-rounding;
footing;
MMFE;
18.
Atomic Force Microscopy with Carbon Nanotube Tip for Critical Dimension Measurement
机译:
碳纳米管尖端的原子力显微镜用于临界尺寸测量
作者:
Byong Chon Park
;
Ki Young Jung
;
Won Young Song
;
Beom-hoan O
;
Tae Bong Eom
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
carbon nanotube tip;
AFM;
critical dimension measurement;
19.
Automated SEM Metrology of Wafers Printed Using a SCAA Mask
机译:
使用SCAA掩模印刷的晶圆的自动SEM计量
作者:
Sunil Desai
;
Takeaki (Joe) Ebihara
;
Marc D. Levenson
;
Sylvia White
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
SEM;
phase-shifting mask;
SCAAM;
wafer CD;
metrology;
20.
Behavior of Chemically Amplified Resist Defects in TMAH Solution
机译:
在TMAH溶液中化学放大的抗蚀剂缺陷的行为
作者:
Yuko Ono
;
Osamu Miyahara
;
Yukio Kiba
;
Junichi Kitano
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
defect;
chemically amplified resist;
TMAH;
developer;
21.
Characterizing cross-sectional profile variations by using multiple parameters extracted from top-down SEM images
机译:
通过使用自上而下的SEM图像提取的多个参数来表征横截面轮廓变化
作者:
Chie Shishido
;
Yuji Takagi
;
Maki Tanaka
;
Osamu Komuro
;
Hidetoshi Morokuma
;
Katsuhiro Sasada
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
CD-SEM;
lithography;
process monitoring;
image analysis;
22.
Comparative Investigation of CD SEM Carryover Effect
机译:
CD SEM残留效应的比较研究
作者:
Anna Chernakova
;
Brad Miller
;
Thomas Boonstra
;
Alan Fan
会议名称:
《》
|
2002年
关键词:
CD SEM;
precision;
carryover;
photoresist;
damage;
23.
Impact on OPC Treatment Accuracy Due to Illumination Pupil Shape Deviation for 110 nm Target CD
机译:
110 nm目标CD的光瞳形状偏差对OPC处理精度的影响
作者:
Sabita Roy
;
Ralph Schlief
;
Stephen Hsu
;
Xuelong Shi
;
Armin Liebchen
;
J. Fung Chen
;
Steve Hansen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
optical proximity correction (OPC);
off-axis-illumination (OAI);
attenuated phase shift (attPSM);
mask error enhancement factor (MEEF);
chromeLess phase lithography (CPL);
SuperGaussian (gaussian with power n, where, n may be any positive integer);
24.
Improved Characteristics of Rainbow Defects with Novel Wafer Edge Exposure Technique
机译:
新型晶片边缘曝光技术改善了彩虹缺陷的特性
作者:
Kew-Chan Shim
;
Myoung-Soo Kim
;
Eung-Sok Lee
;
Chang-Seog Lee
;
Chul-Seung Lee
;
Myung-Goon Gil
;
Bong-Ho Kim
;
Jae-Sig In
;
Tae-Bong Yoon
;
Jai-Soon Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
wafer edge exposure;
rainbow defect;
device yield;
lens assembly;
25.
Matching and Monitoring a CD-SEM Tool Cluster
机译:
匹配和监视CD-SEM工具集群
作者:
A. Sicignano
;
A.V. Nikitin
;
D. Y. Yeremin
;
M. Sandy
;
E. T. Goldburt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
CD-SEM metrology;
signal to noise ratio;
measurement precision;
scan non-linearity;
pixel value;
stability;
26.
New approach for mapping and monitoring Damascene Trench depth using CD-SEM tilt imaging
机译:
使用CD-SEM倾斜成像来绘制和监视镶嵌沟槽深度的新方法
作者:
Ram Peltinov
;
Tony Pan
;
Ophir Dror
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
damascene;
trench depth;
depth monitoring;
mapping;
27.
New Clear-out Scheme to Improve the Overlay Performance for a CMP Process
机译:
新的清除方案可改善CMP工艺的覆盖性能
作者:
Yao-Wen Guo
;
Han-Pin Kao
;
Tsung-Chih Chien
;
Chia-Fu Chang
;
Hsin-Sung Lin
;
Yen-Fen Chen
;
Chin-Yu Ku
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
overlay;
alignment mark;
clear-out;
CMP;
28.
Optimization of E_(th) method for DUV process in-line monitor
机译:
DUV过程在线监控器的E_(th)方法的优化
作者:
Wen Zhan Zhou
;
Hao Chen
;
Teng Hwee Ng
;
Hui Kow Lim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
E_(th);
DUV resist;
wwing curve;
process control;
bottom layer coating (BARC);
29.
Proximity Effect Correction Optimization Considering Fogging and Loading Effects Compensation
机译:
考虑起雾和负荷效应补偿的近距离效应校正优化
作者:
Seung-Hune Yang
;
Yo-Han Choi
;
Jong-Rak Park
;
Yong Hoon Kim
;
Sung-Woon Choi
;
Jung-Min Sohn
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
proximity effect correction;
fogging and loading effect compensation;
EBM-3500;
30.
Shape Measurement Simulation for the Silicon Trench Array by Scattering Properties and Continuous Wavelet Analysis with Interference Spectroscopy
机译:
硅质沟槽阵列的散射特性和干涉光谱连续小波分析的形状测量模拟
作者:
Hirokimi Shirasaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
semiconductor;
trench;
wavelet analysis;
interference spectroscopy;
FDTD method;
BEM method;
31.
Advanced Process Control of Overlay with Optimal Sampling
机译:
最佳采样的高级过程控制
作者:
Craig Garvin
;
Xuemei Chen
;
Matt Hankinson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
APC;
overlay;
sample planning;
process control;
metrology delay;
32.
CD Metrology for Avoiding Shrinkage of ArF Resist Patterns in 100nm ArF Lithography
机译:
避免100nm ArF光刻中ArF抗蚀剂图案收缩的CD计量学
作者:
Tae-Jun You
;
Cheol-Kyu Bok
;
Ki-Soo Shin
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
33.
CD-SEM Calibration with TEM to Reduce CD Measurement Error
机译:
使用TEM进行CD-SEM校准以减少CD测量误差
作者:
Chang-Young Jeong
;
Joohyoung Lee
;
Ki-Yeop Park
;
Won Gyu Lee
;
Dai-Hoon Lee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
CD-SEM;
TEM;
calibration;
LER;
uncertainly;
sidewall angle;
34.
Characterization of interfacial layers and surface roughness using spectroscopic reflectance, spectroscopic ellipsometry and atomic force microscopy
机译:
使用光谱反射率,椭圆偏振光谱和原子力显微镜表征界面层和表面粗糙度
作者:
Ray J. Hoobler
;
Rahul Korlahalli
;
Ed Boltich
;
Joe Serafin
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
ellipsometry;
reflectance;
interfacial layer;
roughness;
AFM;
35.
Design and Development of a Measurement and Control System for Measuring SEM Magnification Calibration Samples
机译:
用于测量SEM放大倍率校准样品的测控系统的设计与开发
作者:
B. N. Damazo
;
E. C. Jayewardene
;
W. J. Keery
;
A. E. Vladar
;
M. T. Postek
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
SEM;
magnification;
automatic;
computer control;
laser stage;
36.
Overlay registration target design for wafer-induced shift characterization
机译:
晶圆对准位移表征的套准目标设计
作者:
Albert L. Ihochi
;
Alan S. Wong
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
overlay;
registration;
CMP;
metal offset;
wafer-induced-shift;
37.
Spectroscopic CD Metrology for Sub-100nm Lithography Process Control
机译:
用于100nm以下光刻工艺控制的光谱CD计量学
作者:
Walter D. Mieher
;
Thaddeus G. Dziura
;
Xuemei Chen
;
Paola DeCecco
;
Ady Levy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
scatterometry;
SCD;
focus exposure matrix;
FEM;
spectroscopic ellipsometry;
spectroscopic critical dimension;
focus exposure monitoring;
38.
Study of Gate Line Edge Roughness Effects in 50 nm Bulk MOSFET Devices
机译:
50 nm大块MOSFET器件中栅极线边缘粗糙度影响的研究
作者:
Shiying Xiong
;
Jeffrey Bokor
;
Qi Xiang
;
Philip Fisher
;
Ian Dudley
;
Paula Rao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
line edge roughness;
MOSFET;
simulation;
leakage;
39.
TIS-WIS Interaction Characterization on Overlay Measurement Tool
机译:
叠加测量工具上的TIS-WIS交互特性
作者:
Kenji Hoshi
;
Eiichi Kawamura
;
Hiroshi Morohoshi
;
Hideki Ina
;
Takanori Fujimura
;
Hiroyuki Kurita
;
Joel L. Seligson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
lithography;
overlay;
metrology;
TIS;
WIS;
measurement;
40.
Advances in Process Overlay on 300 mm wafers
机译:
300毫米晶圆上工艺覆盖的进展
作者:
Jens Staecker
;
Stefanie Arendt
;
Karl Schumacher
;
Evert Mos
;
Richard van Haren
;
Maurits van der Schaar
;
Remi Edart
;
Wolfgang Demmerle
;
Hoite Tolsma
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
overlay;
alignment systems;
process overlay;
STI;
CMP;
resist spin effects;
300 mm wafer processing;
41.
Characterization and Reduction of Highly-Localized Substrate Contamination Defects in Metal Patterning
机译:
金属图案化中高度局部化的基材污染缺陷的表征和减少
作者:
Yiming Gu
;
Anqing Zhang
;
Zhenjiang Yu
;
Wanqing Cao
;
Sean Chen
;
John Sturtevant
;
SK Lee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
metal layers;
substrate contamination;
metal local-interconnect (MLIC) layer;
swelling defect;
chemical amplification (CA) resist;
42.
Compensation of Resist Trim Process and Poly Gate Plasma Microloading Effect for Lithography Process Window and CD Uniformity Improvement
机译:
光刻工艺窗口补偿抗微调工艺和多晶硅栅极等离子体微负载效应并改善CD均匀性
作者:
Kay Ming Lee
;
Cheng Wen Fan
;
Jiunn Ren Hwang
;
Chih Chiang Liu
;
Kuei Chun Hung
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
resist trim process;
microloading;
OPC;
CD control;
lithography window;
43.
Contrast Enhancement Materials for Yield Improvement in Submicron I-Iine Lithography
机译:
用于亚微米I-Iine平版印刷的良率提高的对比度增强材料
作者:
Shane Geary
;
Jim Thompson
;
Elliott Capsuto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
lithography;
contrast enhancement;
CEL;
CEM;
process latitude;
yield;
44.
Dynamic in-situ temperature profile monitoring of a deep UV post exposure bake process
机译:
动态深紫外曝光后烘烤过程的原位温度曲线监控
作者:
Barney Cohen
;
Wayne Renken
;
Paul Miller
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
45.
Imaging interferometric microscopy for enhanced resolution
机译:
成像干涉显微镜,提高分辨率
作者:
Christian J. Schwarz
;
Yuliya Kutznetsova
;
S.R.J. Brueck
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
imaging interferometry;
interferometric imaging;
resolution enhancement;
microscopy;
wafer inspection;
field of view;
working distance;
electronic image processing;
46.
Metal Characterization and Process Enhancement Techniques for Photolithographic Materials
机译:
光刻材料的金属表征和工艺增强技术
作者:
Fu-Hsiang Ko
;
Hsuen-Li Chen
;
Chun-Chen Hsu
;
Tieh-Chi Chu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
47.
Nanotopography metrology for leading edge 300mm process integration
机译:
纳米形貌计量技术,用于领先的300mm工艺集成
作者:
John F. Valley
;
Todd Templeton
;
Chris L. Koliopoulos
;
Masanori Yoshise
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
48.
The Effect of Various ArF Resist Shrinkage Amplitude on CD Bias
机译:
各种ArF抵抗收缩幅度对CD偏置的影响
作者:
Chih-Ming Ke
;
Tsai-Sheng Gau
;
Pei-Hung Chen
;
Anthony Yen
;
Bum J. Lin
;
Tadashi Otaka
;
Takashi Iizumi
;
Katsuhiro Sasada
;
Kazuhiro Ueda
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
ArF resist shrinkage;
ADI;
AEI;
CD bias;
CD SEM;
APC;
49.
Application of Feedforward Reticle - Offset for Overlay APC in a High Part Count Fab
机译:
前馈掩模版-用于APC叠层APC的抵消
作者:
David Crow
;
Etienne Joubert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
overlay;
registration;
steppers;
scanners;
metrology;
yield;
foundry;
reticle;
photolithography;
APC;
50.
Comparison of ATHENA~(TM) and TTL Alignment Capability on Product Wafers
机译:
产品晶圆上ATHENA〜(TM)和TTL对准能力的比较
作者:
Juliann Opitz
;
David Laidler
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
alignment;
overlay;
gate;
STI;
WSi;
CMP;
51.
Determination of DICD Best Focus by Top-down CD-SEM
机译:
通过自上而下的CD-SEM确定DICD最佳聚焦
作者:
WenZhan Zhou
;
Hui Kow Lim
;
Teng Hwee Ng
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
critical dimension;
top-down CD-SEM;
best focus;
resist thickness;
52.
Factors Influencing CD-SEM Metrology
机译:
影响CD-SEM计量学的因素
作者:
A. Sicignano
;
A.V. Nikitin
;
D. Y. Yeremin
;
M. Sandy
;
E. T. Goldburt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
signal to noise ratio;
stability;
CD-SEM metrology;
scan non-linearity;
measurement precision;
sub 100nm metrology;
53.
GaAs Wafer Overlay Performance Effected by Annealing Heat Treatment - Part Ⅱ
机译:
退火热处理对GaAs晶片覆盖性能的影响(二)
作者:
Ying Liu
;
Iain Black
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
IC gallium-arsenide process;
overlay registration;
vector map;
RTA annealing;
overlay performance;
54.
Line-profile and critical dimension correlation between a normal incidence optical CD metrology system and SEM
机译:
垂直入射光学CD计量系统和SEM之间的线轮廓和临界尺寸相关性
作者:
Weidong Yang
;
Roger Lowe-Webb
;
Rahul Korlahalli
;
Vera Zhuang
;
Hiroki Sasano
;
Wei Liu
;
David Mui
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
optical critical dimension;
CD;
process control;
optical metrology;
diffraction;
55.
2-D Image Based CDSEM Applications for Thin Film Head Metrology
机译:
基于二维图像的CDSEM在薄膜磁头测量中的应用
作者:
Srinath Venkataram
;
Neeraj Khanna
;
Sanford Lewis
;
Gautam Khera
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
56.
Contamination control methods for gases used in the microlithography process
机译:
微光刻工艺中所用气体的污染控制方法
作者:
Larry Rabellino
;
Chuck Applegarth
;
Giorgio Vergani
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
DUV;
EUV;
lithography;
purification;
impurity;
defect;
contamination;
hydrocarbon;
nitrogen;
moisture;
57.
Normal Incidence Spectroscopic Ellipsometry and Polarized Reflectometry for Measurement of Photoresist Critical Dimensions
机译:
垂直入射光谱椭圆仪和偏振反射仪用于光致抗蚀剂临界尺寸的测量
作者:
James M. Holden
;
Tom Gubiotti
;
William A.McGaham
;
Mircea Dusa
;
Ton Kiers
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
spectroscopic ellipsometry;
polarized reflectometry;
TE;
TM;
RCWT;
slit and scan-intrafield;
lithography latitude;
model fit;
APC;
58.
Rigorous Electromagnetic Simulation of Stepper Alignment
机译:
步进对准的严格电磁仿真
作者:
Thomas V. Pistor
;
Robert J. Socha
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
alignment;
simulation;
TEMPEST;
FDTD;
ATHENA;
59.
The Design of a Cost Effective Multi Wavelength Development Rate Monitoring Tool
机译:
具有成本效益的多波长发展速率监测工具的设计
作者:
Steven A. Scheer
;
Colin J. Brodsky
;
Stewart A. Robertson
;
Doris Kang
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
multi wavelength development rate monitor;
surface inhibition;
standing wave;
deprotection;
60.
Understanding the Forbidden Pitch Phenomenon and Assist Feature Placement
机译:
了解禁止的音高现象和辅助特征放置
作者:
Xuelong Shi
;
Stephen Hsu
;
Fung Chen
;
Michael Hsu
;
Robert J. Socha
;
Micea Dusa
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
optical proximity effect;
OPE;
exposure latitude;
EL;
scattering bar;
SB;
forbidden pitch;
61.
Linear Alignment Correction Algorithm for Deep Submicron Lithography
机译:
深亚微米光刻的线性对准校正算法
作者:
David H Ziger
;
Pierre Leroux
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
alignment control;
alignment correction algorithm;
alignment compensation;
62.
Characterization and Control of Organic Airborne Contamination in Lithographic Processing
机译:
光刻工艺中有机空气污染的表征与控制
作者:
Andrew J. Dallas
;
Kristine Graham
;
Marc Clarysse
;
Vic Fonderie
会议名称:
《Metrology, Inspection, and Process Control for Microlithography XVI》
|
2002年
关键词:
airborne molecular contamination;
organic contamination;
condensable organics;
chemical filtration;
contamination control;
hexamethyldisiloxane;
amines;
ammonia;
意见反馈
回到顶部
回到首页