掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE Electronics Packaging Technology Conference
IEEE Electronics Packaging Technology Conference
召开年:
2014
召开地:
Singapore(SG)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Effect of process pressure on PVD AlN thin film
机译:
工艺压力对PVD AlN薄膜的影响
作者:
Xie J.L.
;
Wickramanayaka S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
III-V semiconductors;
aluminium compounds;
crystal orientation;
semiconductor growth;
semiconductor thin films;
surface roughness;
vacuum deposition;
wide band gap semiconductors;
AlN;
PVD AlN thin film;
compressive stress;
crystal orientation;
process pressure;
roughness RMS;
tensile stress;
wafer stress uniformity;
Crystals;
Films;
III-V semiconductor materials;
Micromechanical devices;
Sensors;
Stress;
Surface treatment;
2.
Electrical measurement and analysis of TSV/RDL for 3D integration
机译:
用于3D集成的TSV / RDL的电气测量和分析
作者:
Xin Sun
;
Runiu Fang
;
Yunhui Zhu
;
Xiao Zhong
;
Yuan Bian
;
Shenglin Ma
;
Min Miao
;
Jing Chen
;
Yan Wang
;
Yufeng Jin
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
electric resistance measurement;
integrated circuit interconnections;
integrated circuit packaging;
leakage currents;
three-dimensional integrated circuits;
3D integration;
DC resistance;
TSV/RDL interconnect structures;
electrical measurement;
high frequency characterization;
leakage current;
redistribution layers;
substrate resistivity;
through silicon via technology;
Current measurement;
Electrical resistance measurement;
Leakage currents;
Resistance;
Substrates;
Three-dimensional displays;
Through-silicon vias;
3.
Manufacturability readiness of insulated Cu wire bonding process in PBGA package
机译:
PBGA封装中绝缘铜引线键合工艺的可制造性就绪
作者:
Leong HungYang
;
Yap BoonKar
;
Tan Chou Yong
;
Khan N.
;
Ibrahim M.R.
;
Tan L.C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
aluminium;
ball grid arrays;
copper;
integrated circuit bonding;
integrated circuit packaging;
integrated circuit reliability;
lead bonding;
Cu-Al;
HTS;
PBGA package;
TC;
ball bond integrity;
ball bond strength performance;
ball shear tests;
capillary touchdowns;
extreme loop height;
insulated wire bonding process;
manufacturability readiness;
microelectronics devices;
organic coating;
reliability stressing;
stitch bond integrity;
stitch pull tests;
touched wires profile;
ultra fine pitch wire bonding;
unbiased HAST;
wire crossing;
wire density;
wire pull tests;
wire sweeping issues;
wire touching;
wire-to wire short issues;
Bonding;
Gold;
High-temperature superconductors;
Microelectronics;
Reliability;
Testing;
Wires;
4.
Vertical interconnections using through encapsulant via (TEV) and through silicon via (TSV) for high-frequency system-in-package integration
机译:
垂直互连使用直通密封剂通孔(TEV)和直通硅通孔(TSV)进行高频系统级封装
作者:
Wojnowski M.
;
Pressel K.
;
Beer G.
;
Heinig A.
;
Dittrich M.
;
Wolf J.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ball grid arrays;
integrated circuit interconnections;
system-in-package;
three-dimensional integrated circuits;
wafer level packaging;
SiP integration;
TEV;
TSV;
analytic expressions;
application window;
cost window;
eWLB technology;
electrical performance;
electromagnetic simulations;
embedded wafer level ball grid array technology;
high-frequency system-in-package integration;
through encapsulant via;
through silicon via;
vertical interconnections;
Capacitance;
Inductance;
Resistance;
Silicon;
Substrates;
Through-silicon vias;
Transmission line measurements;
5.
Fabrication of VGA size near-infrared image sensor using room-temperature flip-chip bonding technology
机译:
使用室温倒装芯片接合技术制造VGA尺寸的近红外图像传感器
作者:
Shuto T.
;
Iwanabe K.
;
Ogura M.
;
Nishida K.
;
Asano T.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
CMOS integrated circuits;
elemental semiconductors;
flip-chip devices;
gallium arsenide;
image sensors;
indium compounds;
integrated circuit bonding;
photodiodes;
readout electronics;
silicon;
CMOS readout IC chip;
InGaAs-InP;
Si;
VGA class resolution;
VGA size near-infrared image sensor fabrication;
blood vessels;
flip-chip bonding technology;
heated object;
high-quality imaging;
human hand;
photodiode-array chip;
temperature 293 K to 298 K;
ultrasonic vibration;
Acoustics;
Arrays;
Bonding;
Gold;
Image sensors;
Photodiodes;
6.
Heat conduction study across metal/graphene interface by molecular dynamics
机译:
通过分子动力学研究金属/石墨烯界面上的热传导
作者:
Fulong Zhu
;
Kai Tang
;
Ying Li
;
Ke Duan
;
Sheng Liu
;
Yanming Chen
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
gold;
graphene;
heat conduction;
nickel;
thermal conductivity;
thermal management (packaging);
Au-C;
Cu-C;
Ni-C;
graphene devices;
heat conduction;
metal thermal contacts;
metal-graphene interface;
molecular dynamics;
temperature 200 K to 500 K;
thermal interface conductance;
thermal management;
Electronic packaging thermal management;
Gold;
Graphene;
Heating;
Nickel;
Thermal conductivity;
7.
Ultrahigh speed transceiver package with stacked silicon integration technology
机译:
采用堆叠式硅集成技术的超高速收发器封装
作者:
Hong Shi
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
integrated circuit interconnections;
integrated circuit packaging;
silicon;
transceivers;
SSIT;
carrier frequency;
interconnect impairment;
millimeter wave domain;
passive interconnect innovation;
semiconductor IC package;
silicon equalization;
stacked silicon integration technology;
system compensation scheme;
ultrahigh speed transceiver package;
Dielectric losses;
Metals;
Silicon;
Substrates;
Through-silicon vias;
Transceivers;
8.
How to improve void performance in wafer bumping
机译:
如何提高晶圆凸点的空洞性能
作者:
Zhang Ruifen
;
Yap Kong Tat
;
Yam Lip Huei
;
Dexter R.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
electric properties;
mechanical properties;
semiconductor device reliability;
solders;
thermal properties;
electrical properties;
electronic assembly;
heating mechanism on;
inspection criteria;
mechanical properties;
printing parameter effect;
reflow profile effect;
solder joint reliability;
thermal properties;
void performance improvement;
wafer bumping;
Heat transfer;
Heating;
Metals;
Ovens;
Printing;
Soldering;
Solvents;
9.
Functionalised copper nanoparticles as catalysts for electroless plating
机译:
功能化铜纳米粒子作为化学镀催化剂
作者:
Litchfield R.E.
;
Graves J.
;
Sugden M.
;
Hutt D.A.
;
Cobley A.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
X-ray photoelectron spectra;
catalysts;
copper;
electroless deposition;
printed circuits;
Cu;
X-ray photoelectron spectroscopy;
adhesion;
catalyst layer pre-deposition;
chemical reactions;
coverage reproducibility;
electroless copper plating;
electroless deposition;
functionalised copper nanoparticles;
insulating substrates;
organic molecules;
palladium-tin-based catalysts;
particle dispersion;
printed circuit boards;
substrate pre-treatment;
substrate surface;
Adhesives;
Coatings;
Copper;
Dispersion;
Nanoparticles;
Substrates;
Surface treatment;
10.
Advanced thermocompression flip chip bonding
机译:
先进的热压倒装芯片键合
作者:
Clauberg H.
;
Rezvani A.
;
Galipeau E.
;
Wasserman M.
;
Colosimo T.
;
Frick G.
;
Buergi D.
;
Chylak B.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
cooling;
flip-chip devices;
integrated circuit bonding;
integrated circuit interconnections;
lead bonding;
chip-to-chip interconnect;
chip-to-interposer interconnect;
chip-to-substrate interconnect;
cooling;
heating;
thermal uniformity;
thermocompression flip chip bonding;
ultrafine pitch flip chip technology;
Bonding;
Cooling;
Films;
Heating;
Stress;
Temperature sensors;
Throughput;
11.
Comparison of aluminum post etch cleaning on MEMS structures using formulated organic solvent cleaners
机译:
使用配制的有机溶剂清洁剂对MEMS结构进行铝蚀刻后清洁的比较
作者:
Steven L.H.J.
;
Bliznetsov V.
;
Deng Wei
;
Tham Dexian
;
Wickramanayaka S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
aluminium;
cleaning;
etching;
micromechanical devices;
ACT690S;
ASP chamber;
ATMI;
Advanced Technology Materials Incorporated;
Air Products;
Al;
Centura etch platform;
DPS;
DuPont;
EKC265;
MEMS structures;
NE14;
ST250;
advanced strip and passivation chamber;
aluminum interconnects;
corrosion prevention;
decoupled plasma source;
formulated organic solvent cleaners;
integrated circuit fabrication;
metal etch chamber;
plasma photoresist strip;
post etch cleaning;
post etch metal corrosion;
post etch residues removal;
process conditions;
single wafer cleaning environment;
solvent chemistries;
total immersion environment;
Chemicals;
Cleaning;
Corrosion;
Films;
Solvents;
Surface treatment;
12.
Segmented plated-thru-hole design in flip-chip packaging for improved electrical performance
机译:
倒装芯片封装中的分段镀通孔设计可改善电气性能
作者:
Kong Jackson
;
Bok Eng Cheah
;
Chin Lee Kuan
;
Ping Ping Ooi
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
flip-chip devices;
peripheral interfaces;
4-layer packaging design;
PTH structure;
SuperSpeed+ USB;
bit rate 10 Gbit/s;
flip-chip packaging design;
high-frequency coupling;
high-speed signaling;
improved electrical performance;
innovative segmented PTH design;
next-generation USB 3.1;
package-level capacitor reduction;
power integrity assessment;
power integrity loop inductance;
power integrity loop resistance;
segmented plated-thru-hole design;
signal integrity;
ultra-small form-factor substrate design;
Conferences;
Electronics packaging;
13.
Insulated PdCu wire bond challenges and resolution for HVM robustness
机译:
绝缘的PdCu引线键合挑战和HVM稳健性的解决方案
作者:
Siong Chin Teck
;
Eu Poh Leng
;
Tan Lan Chu
;
Ibrahim M.R.
;
Au Ying Kheng
;
Yow Kai Yun
;
Zhang Xi
;
Lee C.
;
Su Dan
;
Tok Chee Wei
;
Murali S.
;
Lyn R.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ball grid arrays;
lead bonding;
palladium compounds;
semiconductor device manufacture;
DOE;
EPO;
FAB formation;
HVM robustness;
PdCu;
TBGA package;
ball bond process;
capacitance effect enhancement;
capillary;
coating material recipe;
cost saving;
die size reduction;
electrical flame off;
free air ball formation;
high volume manufacturing capability;
industrial level package reliability stressings;
insulated wire bond process;
insulation layer;
leadframe standardization;
manufacturing performance;
optimization process;
organic wire coating;
plasma cleaning;
process characterization;
size 0.18 mum;
substrate standardization;
tape ball grid array;
wire bond layout design;
wire bond parameters;
Coatings;
Plasmas;
Rough surfaces;
Surface morphology;
Surface roughness;
Surface treatment;
Wires;
Cu wire bonding;
Insulated Pd coated Cu wire;
Tape BGA;
ultra fine pitch;
14.
Growth behavior and physical response of Al-Cu intermetallic compounds
机译:
Al-Cu金属间化合物的生长行为和物理响应
作者:
Pelzer R.
;
Woehlert S.
;
Koerner H.
;
Khatibi G.
;
Walter J.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
X-ray chemical analysis;
X-ray diffraction;
aluminium alloys;
annealing;
copper alloys;
metallisation;
scanning electron microscopy;
vapour deposition;
Al-Cu;
Alsub2/subCu;
Alsub3/subCusub2/sub;
Alsub4/subCusub9/sub;
Alsub6/subCusub94/sub;
AlCu;
EDX;
IMC growth;
PVD layer;
SAD;
SEM;
X-ray diffraction analysis;
XRD;
activation energies;
annealing conditions;
annealing matrix;
bond strength;
device application temperatures;
diffusion constants;
electron volt energy 1.0 eV;
electron volt energy 1.05 eV to 1.1 eV;
electron volt energy 1.2 eV;
15.
An automatic visual system to identify and estimate ionic contamination in printed circuit boards using electrochemical migration patterns
机译:
一种自动视觉系统,可使用电化学迁移模式识别和估算印刷电路板中的离子污染
作者:
Villanueva H.S.
;
Mena M.G.
;
Naval P.C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
contamination;
copper;
feature selection;
optical microscopes;
printed circuits;
sodium compounds;
CFS;
ECM failures;
Nasub2/subSOsub4/sub;
NaCl;
PCB;
ReliefF;
automatic visual system;
color features;
connected component analysis;
copper dendrites;
correlation-based feature selection;
electrochemical migration patterns;
feature quality;
feature subsets;
feature-weighted k-nearest neighbor;
global shape features;
ionic contamination identification;
local shape features;
optical microscope images;
predictive model;
printed circuit boards;
thresholding analysis;
water drop test;
Contamination;
Copper;
Electronic countermeasures;
Estimation;
Image color analysis;
Pollution measurement;
Shape;
16.
One-sided directional slot antenna with impedance matching circuit for 3D packaging
机译:
带有阻抗匹配电路的单向定向缝隙天线,用于3D封装
作者:
Kanaya Haruichi
;
Iizasa Naoto
;
Oda Tetsuya
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
band-pass filters;
directive antennas;
slot antennas;
3D packaging;
BPF;
RF circuit;
antenna matching section;
band-pass filter;
floating metal layer;
frequency 2.4 GHz;
ground plane;
impedance matching circuit;
impedance matching section;
one sided directional slot antenna;
Antenna measurements;
Directive antennas;
Impedance matching;
Metals;
Microwave antennas;
Slot antennas;
17.
High power SiC inverter module packaging solutions for junction temperature over 220°C
机译:
用于结温超过220°C的大功率SiC逆变器模块封装解决方案
作者:
Woo D.R.M.
;
Hwang How Yuan
;
Li J.A.J.
;
Ho Siow Ling
;
Lee Jong Bum
;
Zhang Songbai
;
Zhang Hengyun
;
Selvaraj S.L.
;
Velez S.D.
;
Singh R.P.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
cooling;
flip-chip devices;
logic gates;
power MOSFET;
semiconductor device models;
semiconductor device reliability;
silicon compounds;
wide band gap semiconductors;
DBC substrate;
SiC;
TIM;
bottom surface;
copper clip attach;
developed functional test vehicles;
device junction temperature;
double-side cooling design;
double-side cooling structure;
drain interconnection;
electrical modeling;
encapsulation;
flat structure;
flipchip bonding;
gate bonding;
high-power 3-phase inverter module;
high-power heat dissipation;
high-power silicon carbide inverter module packaging solutions;
high-temperature endurable material set;
high-temperature interconnection;
junction temperature;
mechanical modeling;
18.
Thermal modeling and characterization of SiC power module under both air cooling and liquid cooling conditions
机译:
空冷和液冷条件下SiC功率模块的热建模和表征
作者:
Hengyun Zhang
;
How Yuan Hwang
;
Lin Bu
;
Aw J.J.L.
;
Rhee D.M.W.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
MOSFET;
bonding processes;
ceramics;
flip-chip devices;
heat sinks;
silicon compounds;
silver;
sintering;
3-phase DMOSFET power module;
DBC;
SiC;
air cooling conditions;
ceramic based copper fins;
cooling conditions;
die metallization size;
direct bonding copper;
dual side liquid cooling;
flip chip attach;
flip chip bonding layer;
heat sink thermal interface materials;
operation requirements;
power 960 W;
power cycling simulation;
sintering silver;
switching speed;
temperature 150 degC;
thermal assembly layers;
thermal management challenge;
thermal modeling;
thermal performance;
working temperature;
Bonding;
Heat sinks;
Multichip modules;
Silicon carbide;
Thermal conductivity;
Thermal resistance;
19.
A 24 GHz microstrip grid array antenna excited by coaxial-fed slot
机译:
由同轴馈电槽缝激发的24 GHz微带栅阵列天线
作者:
Zihao Chen
;
Yue Ping Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
microstrip antenna arrays;
slot antenna arrays;
bandwidth 1.12 GHz;
bandwidth 1.68 GHz;
bandwidth 23.52 GHz to 25.2 GHz;
bandwidth 23.76 GHz to 24.88 GHz;
bandwidth 25.2 GHz;
capacitive slot;
coaxial fed slot;
front-to-back ratio;
ground plane;
microstrip grid array antenna;
slot transition;
symmetrical patterns;
Arrays;
Cavity resonators;
Microstrip;
Microstrip antenna arrays;
Microstrip antennas;
Slot antennas;
24 GHz;
Microstrip grid array antenna;
PCB;
coaxial line to slot transition;
20.
Wirebond enhancement on copper palladium bonding in a Over Pad Metalization
机译:
焊盘金属化中铜钯键合的键合增强
作者:
Tabiera M.B.
;
Bacquian B.C.S.
;
Lacuesta T.D.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesion;
copper alloys;
design of experiments;
focused ion beam technology;
integrated circuit bonding;
integrated circuit metallisation;
integrated circuit testing;
lead bonding;
nickel alloys;
palladium alloys;
rough surfaces;
secondary ion mass spectra;
CuPd-NiPd;
ISHIKAWA Diagram;
ball bond adhesion;
ball shear test;
bond pad discoloration;
bond pad morphology;
copper palladium wires;
depth profiling;
design of experiment;
focused ion beam technology;
forming gas flow optimization;
granulated bond pad surface;
nickel palladium over pad metallization;
nonstick on pad;
power management device;
rough bond pad surface;
thin palladium plating;
top of flight secondary ion mass spectroscopy;
wire bonding enhancement;
Copper;
Correlation;
Fluid flow;
Oxidation;
Palladium;
Wires;
21.
Thermo-mechanical reliability study on Package on Package (PoP) with Embedded Wafer Level Package (eWLP)
机译:
具有嵌入式晶圆级封装(eWLP)的封装上封装(PoP)的热机械可靠性研究
作者:
Zhaohui Chen
;
Boo Yang Jung
;
Lim Sharon Pei Siang
;
Velez Sorono Dexter
;
Ho David Soon Wee
;
Xiaowu Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesives;
finite element analysis;
integrated circuit interconnections;
integrated circuit reliability;
solders;
vias;
wafer level packaging;
TMV;
bottom package;
corner adhesive;
corner adhesive material;
critical solder ball;
diagonal corner solder ball;
eWLP PoP package;
embedded wafer level package;
finite element simulation;
overmold thickness reduction;
package on package;
solder joint reliability;
structural parameter effects;
temperature -40 degC to 125 degC;
thermal cycle loading conditions;
thermo-mechanical reliability;
through mold via;
underfill materials;
vertical interconnect;
Compounds;
Creep;
Electronics packaging;
Reliability;
Soldering;
Strain;
Thermal loading;
22.
Comparative analysis of novel thermal interface containing nano additives
机译:
新型含纳米添加剂热界面的比较分析
作者:
Matkowski Przemysław
;
Fałt Tomasz
;
Mościcki Andrzej
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
additives;
computerised tomography;
conductive adhesives;
infrared imaging;
nanoparticles;
sintering;
thermal analysis;
thermal resistance;
IR thermography;
X-Ray computed tomography;
copper substrates;
flip-chip structures;
flux heat density;
heat dissipation performance;
heat spreader;
long term stable interconnection;
metal radiators;
modern consumer electronics;
passive cooling;
power transistors;
semiconductor dies;
semiconductor junction;
semiconductor structures;
sintered nano silver pastes;
thermal interface material;
thermal interfaces;
thermal resistance;
thermally conductive adhesive;
thermomechanical properties;
Semiconductor device measurement;
Silver;
Substrates;
Temperature measurement;
Thermal analysis;
Thermal resistance;
23.
Novel spray coating process with polymer material applied in CIS wafer-level-packaging
机译:
聚合物材料应用于CIS晶圆级封装的新型喷涂工艺
作者:
Yuechen Zhuang
;
Daquan Yu
;
Fengwei Dai
;
Zhongcai Niu
;
Wenqi Zhang
;
Zhenzhong Yong
;
Guoping Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
CMOS image sensors;
insulating materials;
polymer films;
spray coating techniques;
three-dimensional integrated circuits;
viscosity;
wafer level packaging;
CIS wafer-level-packaging;
CMOS image sensor packaging;
TSV sidewall insulation;
electrical interconnection characteristic;
insulation layer thickness;
phenolic aldehyde polymer;
polymer insulation layers;
polymer material;
polymer viscosity;
spray coating process;
through silicon via;
Coatings;
Insulation;
Packaging;
Polymers;
Silicon;
Through-silicon vias;
Viscosity;
24.
Sensor-enabled PCBs to aid right first time manufacture through defect prediction
机译:
支持传感器的PCB通过缺陷预测帮助首次正确制造
作者:
Sharpe R.
;
Banwell G.
;
Conway P.P.
;
West A.A.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
accelerometers;
printed circuit manufacture;
printed circuits;
radiofrequency identification;
temperature sensors;
PCB manufacture;
PCBA;
RFID chip;
accelerometer;
data storage;
defect monitoring;
defect prediction;
intelligence capability;
manual handling;
manufacturing information;
printed circuit board;
sensor-enabled PCB;
sensory circuit;
sensory information;
temperature sensor;
thermal cycle;
Accelerometers;
Manufacturing;
Monitoring;
Radiofrequency identification;
Temperature measurement;
Temperature sensors;
25.
Intermetallic compound growth mechanism and failure modes of flip chip solder bump with different UBM structure during electromigration
机译:
UBM结构不同的电迁移过程中金属间化合物的生长机理和倒模失效模式
作者:
Yong-Sheng Zou
;
Yu-Hsiang Hsiao
;
Kwang-Lung Lin
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper alloys;
failure analysis;
flip-chip devices;
gold alloys;
metallisation;
nickel alloys;
palladium alloys;
solders;
titanium alloys;
AuSnsub4/sub;
Cusub6/subSnsub5/sub;
ENEPIG layer;
IMC;
Nisub3/subP;
Nisub3/subSnsub4/sub;
OSP substrate;
PdSnsub4/sub;
TiCuCuNi-TiCuNi;
UBM structure;
barrier layer;
crack formation;
electric current stressing;
electromigration;
failure modes;
flip chip solder bump;
intermetallic compound growth mechanism;
nucleation;
organic solderability preservatives substrate;
solder interface;
solder joint;
substrate metallizations;
temperature 160 degC;
void formation;
Atomic layer deposition;
Cathodes;
Gold;
Nickel;
Resistance;
Soldering;
Tin;
26.
Bondability and challenges of Cu ultra-fine-wire bonding
机译:
铜超细线键合的可焊性和挑战
作者:
Sutiono S.
;
Zhang Xi
;
Tok Chee Wei
;
An D.S.
;
Sarangapani M.
;
Huang L.
;
Hung J.
;
Lin F.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
copper alloys;
electronics packaging;
lead bonding;
palladium alloys;
Cu;
Cu ultrafine-wire bonding;
CuPd;
bond contact area;
bond performance;
free-air-ball formation;
kink location;
wire diameter;
Bonding;
Copper;
Electronics packaging;
Firing;
Lead;
Optimized production technology;
Wires;
27.
Integrated Passive Devices on Through Silicon Interposer with Re-distribution Layers
机译:
具有重分配层的直通硅中介层上的集成无源器件
作者:
Cheng Jin
;
Katti G.
;
Songbai Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
MIM devices;
inductors;
passive networks;
tantalum;
tantalum compounds;
three-dimensional integrated circuits;
titanium;
3D inductor;
IPD;
LC-TSI platform fabrication;
MIM capacitor;
Ti-Ta-TaN;
high-Q inductor;
integrated passive device;
low cost through silicon interposer;
metal-insulator-metal capacitor;
polymer-based RDL process;
redistribution layer;
Inductors;
MIM capacitors;
Q-factor;
Resistors;
Silicon;
Spirals;
Three-dimensional displays;
3D symmetrical inductor;
MIM capacitor;
RLC integration;
integrated passive device (IPD);
low-cost through silicon interposer (LC-TSI);
28.
Experimental results versus numerical simulations of In/Cu intermetallic compounds growth
机译:
实验结果与In / Cu金属间化合物生长的数值模拟
作者:
Falat Tomasz
;
Platek Bartosz
;
Matkowski Przemysław
;
Felba Jan
;
Zanden Carl
;
Li-lei Ye
;
Liu Jiangchuan
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper alloys;
diffusion;
indium alloys;
molecular dynamics method;
solders;
In-Cu;
copper;
indium;
intermetallic compounds growth;
molecular dynamics simulations;
parabolic low;
power LED systems;
solder material;
temperature 400 K;
thermal interface;
volume diffusion;
Aging;
Compounds;
Copper;
Indium;
Intermetallic;
Materials;
Soldering;
29.
Etch-hole design in encapsulation for better robustness
机译:
封装中的蚀刻孔设计具有更好的耐用性
作者:
Jae-Wung Lee
;
Sharma Jaibir
;
Merugu Srinivas
;
Singh Navab
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
III-V semiconductors;
aluminium compounds;
deformation;
elemental semiconductors;
encapsulation;
etching;
silicon;
thin films;
wide band gap semiconductors;
AlN;
Si;
cap layer;
downward deformation;
encapsulation;
etch hole mapping;
etch-hole design;
optical profiler measurement;
robustness;
sacrificial layer;
sealing;
sealing process;
thin film encapsulation;
Cavity resonators;
Encapsulation;
Etching;
III-V semiconductor materials;
Micromechanical devices;
Robustness;
Strain;
30.
Capacitor selection process for high-speed power distribution network based on switching current requirement
机译:
基于开关电流需求的高速配电网电容器选择过程
作者:
Xing-Ming Li
;
Shan-Qing Hu
;
Kye-Yak See
;
Eng-Kee Chua
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
integrated circuit design;
time-domain analysis;
CF;
IC specifications;
PDN design methodology;
SI;
capacitor selection process;
contribution factor;
high-speed electrical systems;
high-speed power distribution network;
integrated circuits;
power integrity;
power supply voltage drop;
switching current requirement;
switching current requirements;
time domain;
Capacitors;
Inductance;
Integrated circuits;
Power systems;
Resistance;
Switches;
Transient analysis;
31.
Power QFN down bond lift and delamination study
机译:
功率QFN向下键提升和分层研究
作者:
Hanmin Zhang
;
Hu M.
;
Wang S.
;
Ilko S.
;
Yin B.G.
;
He Q.C.
;
Ye D.H.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
Auger electron spectra;
Fourier transform infrared spectra;
X-ray photoelectron spectra;
contamination;
cracks;
delamination;
finite element analysis;
integrated circuit bonding;
integrated circuit packaging;
Auger electron spectroscopy;
FTIR;
Fourier transform infrared spectroscopy;
X-ray photoelectron spectroscopy;
bond contamination;
cracking;
delamination;
finite element analysis;
power QFN down bond lift;
power quad flat no-lead package;
shear stress;
Bonding;
Compounds;
Contamination;
Delamination;
Lead;
Stress;
Wires;
Contamination;
Delamination;
Down bond lift;
FEA modeling;
PQFN package;
32.
Thermal effects of TSV (through silicon via) with void
机译:
TSV(通过硅过孔)的热效应,带有空隙
作者:
Yunna Sun
;
Hui-Yeol Kim
;
Yan Wang
;
Guifu Ding
;
Junhong Zhao
;
Hong Wang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
deformation;
fatigue;
finite element analysis;
integrated circuit packaging;
integrated circuit reliability;
mechanical stability;
stress-strain relations;
thermal stability;
three-dimensional integrated circuits;
voids (solid);
TSV integrated circuit;
fatigue life;
finite element method;
thermal mechanical reliability;
thermal mechanical stability;
thermal strain;
thermal stress;
through silicon vias;
void deformation;
void interfacial lines;
void location;
void model;
void size;
void-TSV interaction;
Integrated circuit modeling;
Stability analysis;
Stress;
Thermal stability;
Thermal stresses;
Through-silicon vias;
33.
Microbumping technology for hybrid IR detectors, 10μm pitch and beyond
机译:
混合红外探测器的微凸点技术,节距为10μm甚至更高
作者:
Majeed B.
;
Soussan P.
;
Le Boterf P.
;
Bouillon P.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
additives;
cadmium compounds;
copper;
gallium arsenide;
indium compounds;
infrared detectors;
mercury compounds;
nickel;
silicon;
tin;
wafer level packaging;
Cu-Ni-Sn;
HgCdTe;
IMEC;
InGaAs;
Si;
glass chip;
hybrid IR detector;
infrared detector;
mass-manufacturable process;
microbump silicon chip;
microbumping technology;
reliability evaluation;
semiadditive plating;
size 10 mum;
wafer level;
Assembly;
Bonding;
Glass;
Indium gallium arsenide;
Nickel;
Silicon;
Tin;
34.
A fast passive-heating setup to investigate die-attach delamination in packaged devices
机译:
一种快速的被动加热设置,可研究封装器件中的芯片附着分层
作者:
Pélisset Tiphaine
;
Bernardoni Mirko
;
Nelhiebel Michael
;
Antretter Thomas
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
delamination;
finite element analysis;
microassembling;
reliability;
thermal management (packaging);
thermal stress cracking;
DUT;
JEDEC standard temperature cycling;
climatic chamber;
crack propagation;
device under test;
die-attach delamination;
die-attach reliability;
die-attach robustness;
finite element;
linear-elastic fracture mechanics;
packaged device reliability;
passive cycling;
passive-heating setup;
product development;
scanning acoustic microscopy;
temperature cycle test;
thermal cycling;
thermal fatigue;
Delamination;
Heating;
Resistors;
Stress;
Temperature distribution;
Temperature measurement;
Temperature sensors;
Accelerated life tests;
Finite Elements;
Scanning Acoustic Microscopy;
die-attach delamination;
fatigue;
reliability;
thermomechanical stress;
35.
Tunable 3D TSV-based inductor for integrated sensors
机译:
基于可调3D TSV的电感器,用于集成传感器
作者:
Kim B.
;
Mondal S.
;
Seok Ho Noh
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
Q-factor;
inductors;
integrated circuit design;
integrated circuit modelling;
low noise amplifiers;
sensors;
three-dimensional integrated circuits;
3D through-silicon-via inductors;
Si;
TSV arrays;
integrated sensor applications;
low-noise amplifiers;
on-chip inductors;
quality factors;
silicon substrates;
tunable 3D TSV-based inductor;
Inductance;
Inductors;
MOSFET;
Metals;
Q-factor;
Three-dimensional displays;
Through-silicon vias;
36.
Development of scanning acoustic microscopy method with passive integration package for mass production monitoring
机译:
带有被动集成套件的扫描声显微镜方法的开发,用于批量生产监控
作者:
Tai C.T.
;
Lai C.Y.
;
Subramanian Eswariy
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
acoustic microscopy;
delamination;
inspection;
integrated circuit packaging;
mass production;
FIB cut;
SAM surveillance;
cross section analysis;
high silver filler die attach material;
image clarity;
mass production monitoring;
moon shape delamination signal;
moulded packaging;
nondestructive method;
normal image interpretation;
package delamination inspection;
passive component bonding;
passive component integration;
passive integration package;
sample preparation methodology;
scanning acoustic microscopy method;
scanning apparatus;
short focal length transducers scanning;
standard production packages;
white colour;
Analytical models;
Delamination;
Lead;
Loading;
Materials;
Shape;
Transducers;
37.
Innovative wafer level package manufacturing with FlexLine
TM
机译:
使用FlexLine
TM sup>的创新晶圆级封装制造
作者:
Kang Chen
;
Kok Hwa Lim
;
Seah K.
;
Yaojian Lin
;
Seung Wook Yoon
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
integrated circuit manufacture;
protective coatings;
solders;
thin film devices;
wafer level packaging;
FlexLine;
WLP service providers;
advanced mobile products;
assembly operations;
dicing operations;
eWLCSPTM;
encapsulated WLCSP product;
fragile dielectrics;
high volume manufacturing methods;
infrastructure capacity;
innovative wafer level package manufacturing;
protective sidewall coating;
silicon dielectrics;
solder bumps;
thin film metals;
wafer level chip scale packages;
Assembly;
Coatings;
Reliability;
Silicon;
Surface treatment;
Testing;
38.
New nano size filled TIM material with high thermally conductive properties
机译:
具有高导热性能的新型纳米填充TIM材料
作者:
Moscicki A.
;
Falat T.
;
Kinart A.
;
Smolarek A.
;
Merten E.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
curing;
resins;
sintering;
thermal conductivity;
Ag;
curing;
electronic systems;
fillers;
flake shapes;
high thermally conductive properties;
microelectronics systems;
nanosize filled TIM material;
organic adhesives;
organic resins;
powder shapes;
sintering;
thermal interface materials;
Conductivity;
Electronic packaging thermal management;
Materials;
Nanoparticles;
Silver;
Temperature measurement;
Thermal conductivity;
39.
Breakthrough development of ultimate ultra-fine pitch process with gold wire copper wire in QFN packages
机译:
QFN封装的金线和铜线的极细间距工艺的突破性发展
作者:
Tan C.E.
;
Liong J.Y.
;
Dimatira Jeramie
;
Lee Wee Kok
;
Tan Jason
;
Wijaya Lie Handra
;
Song Jian
;
Satoshi Teshima
;
Kwong K.H.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
design of experiments;
electronics packaging;
gold;
lead bonding;
response surface methodology;
Au;
Cu;
DOE;
QFN packages;
RSM;
bonded ball;
bonding stress;
capillary dimensions;
capillary tip breakage;
copper wire;
design of experiment;
dopant contents;
final optimum capillary design;
gold wire;
machine capability;
miniature package;
optimum UUFP process;
optimum process window;
parameters optimization;
physical material limitations;
process flow modification enhancement;
process optimization;
process window optimization;
response surface method;
semiconductors industry;
size 10 mum;
size 12.5 mum;
size 20 mum;
smallest wire size;
ultimate ultra-fine pitch;
wire bonding process;
Bonding;
Electronics packaging;
Gold;
Materials;
Optimization;
Wires;
40.
Finite strain thermomechanical material characterization of adhesives used in automotive electronics for quantitative finite element simulations
机译:
汽车电子中使用的粘合剂的有限应变热机械材料表征,用于定量有限元模拟
作者:
Ozturk B.
;
Gromala P.
;
Silber C.
;
Jansen K.M.B.
;
Ernst L.J.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesives;
automotive electronics;
creep;
deformation;
elastoplasticity;
failure analysis;
finite element analysis;
laminations;
stress-strain relations;
tensile testing;
viscoelasticity;
BB NLVE material model;
Bergstrom-Boyce material model;
DIN EN ISO 527-2 standard;
DMA;
EP;
LE;
LVE models;
PVT;
Prony terms;
STCR;
STCR simulations;
STT;
adhesive strength;
automotive electronics;
bulk cracking;
deformation behavior;
delamination;
dogbone geometry;
dynamic mechanical analysis;
41.
Measurement of power distribution network impedance using an error analysis approach
机译:
使用误差分析方法测量配电网络阻抗
作者:
Eng-Kee Chua
;
Xing-Ming Li
;
Shan-Qing Hu
;
Kye-Yak See
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
S-parameters;
distribution networks;
electric impedance;
error analysis;
frequency-domain analysis;
network analysers;
power engineering computing;
power system measurement;
CST microwave studio;
DUT impedance;
EM simulations;
PDN impedance measurement;
PI;
S-parameter;
VNA;
cavity model method;
computer simulation technology microwave studio;
device under test impedance;
error analysis approach;
frequency domain;
impedance error rate;
power distribution network impedance measurement;
power ground plane board fabrication;
power integrity;
scattering parameter;
two-port method;
variable uncertainty function;
vector network analyzer;
Accuracy;
Error analysis;
Impedance;
Impedance measurement;
Measurement uncertainty;
Scattering parameters;
Uncertainty;
42.
Package-level Si-based micro-jet impingement cooling solution with multiple drainage micro-trenches
机译:
具有多个排水微沟槽的封装级硅基微射流冲击冷却解决方案
作者:
Yong Han
;
Boon Long Lau
;
Hengyun Zhang
;
Xiaowu Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
cooling;
elemental semiconductors;
jets;
microchannel flow;
micromechanical devices;
silicon;
IC chip;
MDMT;
Si;
Si micro cooler;
concentrated heat fluxes;
heat flux dissipation;
heat transfer coefficient;
high heat flux removal;
jet impingement;
low temperature variation;
micro-trench width;
microchannels;
microelectronic devices;
multiple drainage micro-trenches;
negative cross-flow effect;
nozzle-trench arrangements;
power 0.2 W;
Cooling;
Fluids;
Heat pumps;
Heat transfer;
Heating;
Load modeling;
Silicon;
43.
Influence of the height of Carbon Nanotubes on hot switching of Au/Cr-Au/MWCNT contact pairs
机译:
碳纳米管的高度对Au / Cr-Au / MWCNT触点对热切换的影响
作者:
Liu H.
;
Lewis A.P.
;
Pu S.H.
;
Jiang L.
;
McBride J.W.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
chromium;
contact resistance;
electrical contacts;
gold;
microswitches;
multi-wall carbon nanotubes;
Au-Cr-Au;
MEMS switch applications;
MWCNT contact pairs;
compliant layer;
contact material;
contact resistances;
electrical contact surfaces;
forest compressibility;
gold coated multiwalled carbon nanotube composites;
switch lifetime;
switching behavior;
Carbon nanotubes;
Contact resistance;
Gold;
Surface treatment;
Switches;
Testing;
44.
The effect of variation of doping density on thermal properties of power Si MOSFET
机译:
掺杂密度变化对功率Si MOSFET热性能的影响
作者:
Kibushi R.
;
Hatakeyama T.
;
Nakagawa S.
;
Ishizuka M.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
elemental semiconductors;
power MOSFET;
semiconductor doping;
silicon;
temperature distribution;
Si;
doping density;
electron channel formation;
nsup+/sup type semiconductor;
power silicon MOSFET;
temperature distribution;
thermal properties;
Doping;
Equations;
MOSFET;
Mathematical model;
Silicon;
Temperature distribution;
45.
Photonic device package design, assembly and encapsulation
机译:
光子器件封装设计,组装和封装
作者:
Hamelink J.
;
Bos A.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesion;
electronics packaging;
encapsulation;
light emitting diodes;
optical transceivers;
photodiodes;
refractive index;
reliability;
solar cells;
adhesion;
assembly process;
encapsulation;
light emitting diodes;
optical transceivers;
photodiode;
photonic device package design;
refractive index;
reliability;
solar cells;
transmittance;
Compounds;
Films;
Lenses;
Optical fibers;
Optical transmitters;
Shape;
46.
Test methods and influencing factors for the adhesion strength measurement of metallized structures on thermoplastic substrates
机译:
热塑性基材上金属化结构的粘合强度测量的测试方法和影响因素
作者:
Kuhn T.
;
Franke J.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesion;
integrated circuit interconnections;
integrated circuit metallisation;
integrated circuit testing;
mechanical variables measurement;
mechatronics;
adhesion strength measurement;
hot pin pull test;
mechatronic systems;
metallized structures;
molded interconnect devices;
test methods;
thermoplastic substrates;
Adhesive strength;
Adhesives;
Conductors;
Force;
Force measurement;
Metallization;
Substrates;
47.
Thermo-compression bonding for 2.5D fine pitch copper pillar bump interconnections on TSV interposer
机译:
TSV中介层上2.5D细间距铜柱凸点互连的热压键合
作者:
Lim S.P.-S.
;
Mian Zhi Ding
;
Velez Sorono D.
;
Cereno D.I.
;
Jong Kai Lin
;
Rao V.S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
fine-pitch technology;
flip-chip devices;
integrated circuit bonding;
integrated circuit interconnections;
integrated circuit packaging;
lead bonding;
low-power electronics;
reflow soldering;
three-dimensional integrated circuits;
2.5D fine pitch copper pillar bump interconnections;
3D IC packages;
TSV interposer;
bonding substrate;
capillary underfill process;
electronic package design;
flip-chip bonder;
molded underfill process;
portable electronic devices;
power consumption;
solder joint formation;
solder reflow process;
thermocompression bonding;
through silicon via;
Bonding;
Flip-chip devices;
Silicon;
Soldering;
Through-silicon vias;
48.
Sequential stress combinations in product level reliability testing of industrial electronics
机译:
工业电子产品级可靠性测试中的顺序应力组合
作者:
Pippola J.
;
Marttila T.
;
Frisk L.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
failure analysis;
integrated circuit reliability;
integrated circuit testing;
life testing;
accelerated life test methods;
failure modes;
high temperature high humidity test;
industrial electronics;
product level reliability testing;
sequential combination effects;
temperature shock test;
Aging;
Electric shock;
Failure analysis;
Humidity;
Reliability;
Stress;
49.
Chip package interaction induced ILD integrity issues in fine pitch flip chip packages
机译:
小间距倒装芯片封装中的芯片封装相互作用会引起ILD完整性问题
作者:
Gupta V.
;
OConnor S.
;
Pilch C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
automatic test pattern generation;
failure analysis;
flip-chip devices;
focused ion beam technology;
optical microscopy;
tape automated bonding;
ATPG tools;
EFA;
FIB sections;
PFA;
SOM;
automatic test pattern generation tools;
chip package interaction;
electrical failure analysis;
fine pitch copper pillar assembly;
fine pitch flip chip packages;
focused ion beam sections;
high die placement accuracy;
induced ILD integrity issues;
mass production;
physical failure analysis methodology;
primary load transference link;
scanning optical microscopy;
thermal compression bonding;
thermocompression assembly induced stresses;
Assembly;
Automatic test pattern generation;
Bonding;
Bonding forces;
Dielectrics;
Failure analysis;
Stress;
50.
Comprehensive study on reliability of chip-package interaction using Cu pillar joint onto low k chip
机译:
铜柱接合在低k芯片上的芯片封装相互作用可靠性的综合研究
作者:
Che F.X.
;
Jong-Kai Lin
;
Au K.Y.
;
Xiaowu Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
assembling;
copper;
electronics packaging;
lead bonding;
reliability;
2D axisymmetry model;
CPI;
Cu;
TCB process modeling methodology;
assembly process;
chip-package interaction reliability;
conventional C4 bump;
conventional flip chip technology;
copper pillar design;
copper pillar joint;
copper pillar technology;
copper-low-k chip;
fine pitch copper pillar assembly;
global-local technique;
low-k stress;
miniaturization requirements;
package geometry;
package warpage reduction;
packaging material selection;
reflow process;
temperature loading;
thermo-compression bonding process;
wire bonding;
Assembly;
Bonding;
Joints;
Load modeling;
Stress;
Substrates;
51.
Advanced electrical array interconnections for ultrasound probes integrated in surgical needles
机译:
用于集成在手术针中的超声探头的高级电阵列互连
作者:
Schiavone G.
;
Jones T.
;
Price D.
;
McPhillips R.
;
Zhen Qiu
;
Demore C.E.M.
;
Yun Jiang
;
Meggs C.
;
Mahboob S.O.
;
Eljamel S.
;
Button T.W.
;
Cochran S.
;
Desmulliez M.P.Y.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
biomedical transducers;
biomedical ultrasonics;
flexible electronics;
integrated circuit bonding;
integrated circuit interconnections;
needles;
printed circuits;
surgery;
advanced electrical array interconnections;
anisotropic conductive paste;
bonding technique;
embedded ultrasound transducer;
flexible printed circuit board;
miniaturised ultrasound probe array;
real-time ultrasound guidance during neurosurgery;
surgical needles;
ultrasound probes;
Arrays;
Bonding;
Integrated circuit interconnections;
Needles;
Surgery;
Transducers;
Ultrasonic imaging;
52.
A robust chip capacitor for video band width in RF power amplifiers
机译:
用于射频功率放大器中视频带宽的坚固型片状电容器
作者:
Aziz Aznita Abdul
;
Danaher Frank
;
Hashim Aniqah
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
capacitors;
electrodes;
microwave power amplifiers;
solders;
RF power amplifiers;
chip cap processing;
chip capacitor;
in-house solder foil proces;
reverse electrodes;
video bandwidth;
Bandwidth;
Capacitors;
Frequency modulation;
Materials;
Proposals;
Radio frequency;
Robustness;
53.
Alternative flip chip sample preparation technique using triple ion beam milling
机译:
使用三重离子束铣削的替代倒装芯片样品制备技术
作者:
Qiu W.
;
Zee B.
;
Foo F.J.
;
Grunewald W.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
failure analysis;
flip-chip devices;
focused ion beam technology;
solders;
back-end-of-line defects;
cross-section sample preparation;
eutectic C4 solder bumps;
flip chip sample preparation technique;
focus ion beam cross-section;
lead-free solder bumps;
triple ion beam milling;
Cooling;
Failure analysis;
Flip-chip devices;
Ion beams;
Materials;
Milling;
Scanning electron microscopy;
54.
Joint strength and microstructures of brazed joints of stainless steel with Fe-based filler
机译:
不锈钢与铁基钎料钎焊接头的接头强度和组织
作者:
Tsunoda Takahiro
;
Shi Kangdao
;
Shohji Ikuo
;
Matsu Kotaro
;
Taguchi Yasuhiro
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
brazing;
filler metals;
iron;
nickel;
shear strength;
stainless steel;
P-rich phase;
brazed joint;
corrosion resistance;
electrical equipment;
iron-based filler;
joint clearance;
joint strength;
microstructure;
nickel-based filler;
shear strength;
stainless steel type 304;
thermal conversion part;
Compounds;
Joints;
Materials;
Microstructure;
Nickel;
Steel;
Surface cracks;
55.
Board level drop test simulation using explicit and implicit solvers
机译:
使用显式和隐式求解器的板级跌落测试模拟
作者:
Yiyi Ma
;
Kim-Yong Goh
;
Xueren Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
numerical analysis;
printed circuit testing;
solders;
ABQUAS explicit;
ANSYS-LS-DYNA explicit;
PCB;
acceleration profile;
board level drop test simulation;
boundary conditions;
current industrial specified drop test;
dynamic-static modeling approach;
explicit solvers;
free fall analysis;
implicit solvers;
mass scaling techniques;
maximum bending moment;
numerical analysis;
peeling stress;
printed circuit board;
simplified input-G method;
solder joints;
submodeling techniques;
transient process;
Acceleration;
Finite element analysis;
Numerical models;
Soldering;
Standards;
Stress;
Transient analysis;
56.
Air-gap in encapsulation for fast release and safe sealing
机译:
密封气隙,可快速释放并安全密封
作者:
Jae-Wung Lee
;
Merugu Srinivas
;
Sharma Jaibir
;
Geng Li
;
Singh Navab
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
encapsulation;
micromechanical devices;
packaging;
seals (stoppers);
thin films;
air-gap structure;
etch holes;
fast release;
microelectromechanical system devices;
safe sealing;
thin film encapsulation;
Air gaps;
Cavity resonators;
Encapsulation;
Etching;
Loading;
Materials;
Micromechanical devices;
57.
2.5D through silicon interposer package fabrication by chip-on-wafer (CoW) approach
机译:
通过晶圆上芯片(CoW)方法制造2.5D硅中介层封装
作者:
Ho S.W.
;
Mian Zhi Ding
;
Pei Siang Lim
;
Cereno D.I.
;
Katti G.
;
Tai Chong Chai
;
Bhattacharya S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
chemical mechanical polishing;
integrated circuit metallisation;
moulding;
printed circuits;
solders;
wafer level packaging;
2.5 D through silicon interposer package fabrication;
CMP;
CoW approach;
Cu;
PCB;
UBM;
backside rerouting layer;
chemical mechanical polishing;
chip-on-wafer approach;
fine-pitch RDL;
mechanical-grinding;
over-mold encapsulation;
polymer based dielectric;
printed circuit board;
semi-additive process;
singulated packages;
size 12 inch;
size 300 mm;
solder bumps;
stacking-first approach;
thick interposer substrate A wafer level molding process;
under bump metallization;
Assembly;
Bonding;
Polymers;
Silicon;
Substrates;
Through-silicon vias;
58.
Effect of copper roughness on dielectric adhesion
机译:
铜粗糙度对介电粘附力的影响
作者:
Boon S.S.S.
;
Ho S.W.D.
;
Ding Liang
;
Sek Soon Ann
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesion;
bonds (chemical);
copper;
curing;
dielectric materials;
insulating materials;
surface roughness;
Cu;
adhesion strength;
chemical bonding;
dielectric adhesion;
high-temperature curing dielectric;
low-temperature curing dielectric;
mechanical interlocking;
photosensitive insulating material;
surface roughness;
Copper;
Polymers;
Rough surfaces;
Surface morphology;
Surface roughness;
Surface treatment;
59.
60GHz wideband Yagi-Uda antenna integrated on 2.5D through silicon interposer
机译:
通过硅中介层集成在2.5D上的60GHz宽带八木-宇田天线
作者:
Songbai Zhang
;
Ka Fai Chang
;
Cheng Jin
;
Katti Guruprasad
;
Weerasekera Roshan
;
Bhattacharya Surya
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
Yagi antenna arrays;
baluns;
millimetre wave antenna arrays;
three-dimensional integrated circuits;
RDL technology;
TSI platform;
antenna exciter;
differential Yagi-Uda antenna;
frequency 60 GHz;
half-wavelength dipole;
impedance matching;
metal layers;
open-circuited stub functions;
rear feeding network;
redistributed layer technology;
through silicon interposer platform;
wideband Marchand balun;
Antenna feeds;
Broadband antennas;
Dipole antennas;
Impedance matching;
Silicon;
Wideband;
Yagi-Uda antennas;
60.
Advanced interconnect equipment and process development
机译:
先进的互连设备和工艺开发
作者:
Tao Xu
;
Walker T.
;
Chen R.
;
Fu J.
;
Luechinger C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
bonding processes;
copper;
integrated circuit interconnections;
integrated circuit packaging;
modules;
KS;
advanced interconnect equipment;
aluminum-based interconnect;
automotive industry;
bond force;
bond life time;
bonding material;
bonding process;
configurable bond head;
copper wire;
copper-based advanced interconnect;
cutter blade;
cutting system rigidity;
multiphase bond profile;
multisegmented bonding program;
packaging platform;
power module;
process development;
ultrasonic power;
wearable material;
Acoustics;
Bonding;
Force;
Magnetic heads;
Materials;
Transducers;
Wires;
61.
Structure reliability and characterization for FC package w/Embedded Trace coreless Substrate
机译:
带嵌入式迹线无芯基板的FC封装的结构可靠性和特性
作者:
Chen E.
;
Lan A.
;
You J.
;
Liao M.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
finite element analysis;
flip-chip devices;
integrated circuit packaging;
integrated circuit reliability;
thermal expansion;
ETS coreless substrate;
ETS technology;
FC package;
FCCSP;
HTST;
IC package requirement;
MUF structure;
Shadow Moire measurement results;
Shadow Moire test equipment;
TCT;
assembly out time zero;
bill-of-material selection;
coefficient-of-thermal expansion mismatch;
die thickness;
embedded trace coreless substrate technology;
failure analysis;
failure location;
failure mode;
failure rate;
finite element analysis simulation tool;
flip chip chip scale package;
mobile devices;
molding compound;
molding compound material selection;
molding compound thicknesses;
62.
Workability and reliability assessment of various high bandwidth PoP structures
机译:
各种高带宽PoP结构的可操作性和可靠性评估
作者:
Hung M.
;
Huang L.
;
Lin T.
;
Chen E.
;
Huang E.
;
Ding Y.C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
electronics packaging;
reliability;
HB PoP;
high bandwidth PoP structures;
high warpage performance;
package-on-package;
reliability assessment;
temperature 293 K to 298 K;
workability assessment;
Bandwidth;
Bonding;
Reliability;
Silicon;
Stacking;
Substrates;
CuPI (Cu Pillar Interconnection);
High I/O Count;
High bandwidth PoP;
SPHI (Solder Pillar Hybrid Interconnection);
SeLI (Solder embedded Lamination Interconnection;
Substrate Interposer (SI);
63.
Through silicon via (TSV) scallop smoothening technique
机译:
硅穿孔(TSV)扇贝平滑技术
作者:
Goon Heng Wong
;
King Jien Chui
;
Guan Kian Lau
;
Woon Leng Loh
;
Li HongYu
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
etching;
three-dimensional integrated circuits;
3D chip stacking;
3D technology;
Moore law;
Si;
TSV scallop smoothening technique;
etching mechanism;
microchip;
through silicon via technology;
Cleaning;
Dielectrics;
Etching;
Microelectronics;
Silicon;
Three-dimensional displays;
Through-silicon vias;
64.
Study of transmission line performance on through silicon interposer
机译:
通过硅中介层的传输线性能研究
作者:
Ka Fai Chang
;
Rui Li
;
Liang Ding
;
Songbai Zhang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
coplanar waveguides;
elemental semiconductors;
impedance matching;
microstrip lines;
silicon;
Si;
TSI;
common mode conversion;
differential coplanar waveguide structure;
differential mode conversion;
grounded coplanar waveguide;
impedance matching;
insertion loss;
low-loss high-bandwidth interconnects;
microstrip line;
millimeter wave system integration;
radiofrequency integration;
return loss;
through silicon interposer;
transmission line performance;
transmission line structures;
Coplanar waveguides;
Insertion loss;
Metals;
Microstrip;
Silicon;
Substrates;
Transmission line measurements;
65.
Thermo-compression bonding assembly process and reliability studies of Cu pillar bump on Cu/Low-K Chip
机译:
Cu / Low-K芯片上Cu柱凸块的热压键合组装工艺及可靠性研究
作者:
Au K.Y.
;
Che F.X.
;
Jie Li Aw
;
Jong-Kai Lin
;
Boehme B.
;
Kuechenmeister F.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
assembling;
copper;
lead bonding;
low-k dielectric thin films;
reliability;
tape automated bonding;
C4 reflow;
CPI effect;
Cu;
GLOBALFOUNDRIES technology node;
TCB-NCP processes;
advanced node silicon devices;
capillary underfill process;
chip-package-interaction effect;
cold joints;
electrical performance;
low k stress;
low-k chip;
package BOM selection;
pillar bump;
pitch joints;
reliability studies;
size 28 nm;
thermocompression bonding assembly process;
thermomechanical stress;
ultra low-k dielectrics;
Assembly;
Bonding;
Flip-chip devices;
Joints;
Reliability;
Stress;
Substrates;
66.
Effect of temperature on tensile properties of high-melting point Bi system solder
机译:
温度对高熔点铋系钎料拉伸性能的影响
作者:
Haidong Zhang
;
Shohji I.
;
Shimoda M.
;
Watanabe H.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
bismuth;
bismuth alloys;
elongation;
germanium alloys;
internal stresses;
lead alloys;
silver alloys;
solders;
tensile strength;
tin alloys;
Bi;
Bi-Ag;
Bi-Ag-Sn-Ge;
Bi-bearing lead-free solder;
Pb-Ag-Sn;
elongation;
high-melting point Bi system solder;
proof stress;
temperature 25 degC to 175 degC;
temperature effect;
tensile properties;
tensile strength;
Bismuth;
Lead;
Microstructure;
Stress;
Surface cracks;
Temperature;
67.
Tensile properties of low-melting point Sn-Bi-Sb solder
机译:
低熔点Sn-Bi-Sb焊料的拉伸性能
作者:
Kubota Y.
;
Shohji I.
;
Tsuchida T.
;
Nakamura K.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
antimony;
bismuth;
copper;
solders;
tensile strength;
tin;
Sn-Ag-Cu;
Sn-Bi-Sb;
low-melting point solder;
microstructure;
proof stress;
temperature 20 degC;
tensile properties;
tensile strength;
Lead;
Microstructure;
Soldering;
Strain;
Stress;
Surface cracks;
Temperature;
68.
Power noise isolation in a silicon interposer with through silicon vias
机译:
具有硅通孔的硅中介层中的电源噪声隔离
作者:
Myunghoi Kim
;
Dong-Hwan Shin
;
Man-Seok Um
;
In-Bok Yom
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
elemental semiconductors;
integrated circuit modelling;
integrated circuit noise;
interference suppression;
silicon;
three-dimensional integrated circuits;
Bloch analysis;
Bloch impedance;
Floquet theorem;
Si;
full-wave simulations;
high-frequency power-ground noise;
noise suppression characteristics;
power distribution network;
power noise isolation;
silicon interposer;
switching noise;
through silicon vias;
Analytical models;
Impedance;
Metals;
Noise;
Packaging;
Silicon;
Through-silicon vias;
69.
Development of fluxless bonding using deposited Gold-indium multi-layer composite for heterogeneous silicon micro-cooler stacking
机译:
利用沉积的金-铟多层复合材料进行非均相键合,用于异质硅微冷却器堆叠
作者:
Lau B.L.
;
Yong Han
;
Zhang H.Y.
;
Zhang L.
;
Zhang X.W.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
X-ray chemical analysis;
acoustic microscopy;
design of experiments;
failure analysis;
gold;
indium;
reliability;
scanning electron microscopy;
solders;
tape automated bonding;
Au-In;
DOE;
EDX;
X-ray scanning;
bonded structure;
cross-sectional SEM;
deposited multilayer composite;
deposited thin composites;
design of experiment;
energy dispersive X-ray spectroscopy;
failure mode;
fluxless eutectic bonding;
heterogeneous silicon microcooler stacking;
heterogeneous structure;
nearly void-free bonds;
preclean process steps;
scanning acoustic microscope analysis;
scanning electron microscope;
shear test;
solder joint reliability;
thermal compression bonding process conditions;
thermal cycling test;
Bonding;
Gold;
Heating;
Silicon;
Substrates;
70.
BGA packaging using insulated wire for die area reduction
机译:
使用绝缘线进行BGA封装以减少芯片面积
作者:
Kumar S.
;
Garg V.
;
Verma C.
;
Bhooshan R.
;
Poh Zi-Song
;
Tan L.C.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ball grid arrays;
insulated wires;
lead bonding;
silicon;
BGA packaging;
IR drop;
ball grid array packaging;
die area reduction;
die size reduction;
electrical isolation;
ground pad;
insulated wire bonding technology;
mesh type power grid;
nonconductive layer;
off-chip decoupling capacitor;
on-die capacitor;
signal integrity;
silicon area;
wire bonded package;
wire disturbance;
Bonding;
Capacitance;
Capacitors;
Packaging;
Power grids;
Silicon;
Wires;
71.
Extremely high temperature and high pressure (x-HTHP) endurable SOI device sensor packaging for deep sea, oil and gas applications
机译:
适用于深海,石油和天然气应用的极高温度和高压(x-HTHP)耐久SOI设备和传感器包装
作者:
Woo D.R.M.
;
Yun J.A.K.
;
Yu Jun
;
Ching E.W.L.
;
Che F.X.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
drilling (geotechnical);
electronics packaging;
gas industry;
hermetic seals;
petroleum industry;
reliability;
silicon-on-insulator;
temperature sensors;
voltage regulators;
SOI device physical layout;
SOI-based temperature sensor;
aerospace engine electronics;
automotive engine electronic;
ceramic substrate;
current SOI sensor module;
deep sea environment test;
extremely-high-temperature high-pressure endurable SOI device;
geothermal application;
hermetically-sealed metal casing package design;
high-temperature endurable interconnection materials;
high-temperature storage test;
mechanical modeling;
oil-gas down-hole drilling environment test;
pressure cycling;
sensor packaging;
sintering material;
specified reliability testing criteria;
temperature -55 degC to 250 degC;
temperature cycling condition;
temperature sensor ruggedized component;
72.
Influence of mold compound type towards Palladium doped and copper doped 2N Au wire
机译:
模塑料类型对掺钯和掺铜2N金线的影响
作者:
Goh Chen Liew
;
Khoo Ju Lee
;
Aileen M.S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
aluminium;
failure analysis;
gold;
lead bonding;
moulding;
palladium;
2N wire preselection study;
2N wire type;
Al;
Au;
HTS;
IMC coverage;
IMC growth;
Pd;
WB process characteristic;
automotive semiconductor industry;
ball shear;
cratering;
epoxy mold compound;
failure mechanism;
green EMC;
high temperature storage;
intermetallic layer growth;
kirkendall voiding;
nongreen EMC;
product application;
reliability performance;
stress neck;
thermal aging;
wire bond process characteristic;
wire pull;
Compounds;
Electromagnetic compatibility;
Gold;
High-temperature superconductors;
Intermetallic;
Reliability;
Wires;
73.
Characterization of copper conductive ink for low temperature sintering processing on flexible polymer substrate
机译:
柔性聚合物基底上用于低温烧结工艺的铜导电油墨的表征
作者:
Jaewon Kim
;
Byunghoon Lee
;
Jun Yan Lek
;
Made R.I.
;
Salam B.
;
Chee Lip Gan
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
electrical resistivity;
electromigration;
flexible electronics;
nanoelectronics;
nanoparticles;
polymers;
reliability;
sintering;
Cu;
copper conductive ink characterization;
copper film microstructure;
copper nanoparticle ink;
electrical resistivity;
electromigration resistance;
flexible polymer substrate;
gold-based ink;
inert environment;
low-temperature sintering processing;
material cost;
nano-sized copper particle ink-jet printed conductive films;
nitrogen environment;
oxidation effect reduction;
printed interconnects;
sheet resistance;
silver-based ink;
Copper;
Films;
Ink;
Microstructure;
Nanoparticles;
Resistance;
Substrates;
74.
Temporary bonding on the move towards high volume: A status update on cost-of-ownership
机译:
迈向大批量的临时绑定:拥有成本的状态更新
作者:
Uhrmann T.
;
Burggraf J.
;
Wiesbauer H.
;
Bravin J.
;
Matthias T.
;
Wimplinger M.
;
Lindner P.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
integrated circuit bonding;
three-dimensional integrated circuits;
3D stacked IC;
3DIC;
cost-of-ownership;
debonding technology;
handheld consumer devices;
size 50 mum;
status update;
temporary bonding process;
thin wafers;
Bonding;
Cleaning;
Coatings;
Nonhomogeneous media;
Sensitivity;
Surfaces;
Throughput;
75.
Embedded compact BaTiO
3
-polymer film VHF band-pass filter
机译:
嵌入式紧凑型BaTiO
3 inf>-聚合物薄膜VHF带通滤光片
作者:
Wenhu Yang
;
Shuhui Yu
;
Rong Sun
;
Wei-Hsin Liao
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
UHF filters;
VHF filters;
band-pass filters;
barium compounds;
electronics packaging;
filled polymers;
microstrip filters;
polymer films;
printed circuit manufacture;
BaTiOsub3/sub;
DGS;
PCB manufacturing process;
communication systems;
cross coupled microstrips;
double helical ground structure;
electric device;
electrical system miniaturization;
electronic system miniaturization;
embedded compact-polymer film VHF band-pass filter;
epoxy composite film;
frequency 280 MHz to 400 MHz;
high frequency selectivity;
low insertion loss;
multilayered FR-4 packaging substrate;
power plane;
return loss;
Band-pass filters;
Films;
Insertion loss;
Loss measurement;
Microwave filters;
Resonator filters;
Substrates;
BaTiO3-epoxy composite film;
band-pass filter;
defect ground structure;
embedded filter;
76.
Analysis of concurrent failure mechanisms in IGBT structures during active power cycling tests
机译:
有源功率循环测试期间IGBT结构中并发故障机理的分析
作者:
Sarkany Z.
;
Vass-Varnai A.
;
Rencz M.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
failure analysis;
insulated gate bipolar transistors;
voltage measurement;
IGBT structures;
active power cycling tests;
bond wire damage;
concurrent failure mechanisms;
die attach degradation;
power electronics components;
voltage measurements;
Current measurement;
Degradation;
Insulated gate bipolar transistors;
Resistance;
Temperature measurement;
Voltage measurement;
Wires;
77.
Capacitive crosstalk compensation structure for improved high-speed on-package signaling
机译:
电容串扰补偿结构,用于改善高速封装内信令
作者:
Bok Eng Cheah
;
Kong J.
;
Ping Ping Ooi
;
Kok Hou Teh
;
Po Yin Yaw
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
compensation;
crosstalk;
integrated circuit interconnections;
integrated circuit packaging;
network routing;
transient analysis;
3D passive electrical model;
UBC design;
capacitive crosstalk compensation structure;
capacitive crosstalk coupling;
eye diagram;
far-end crosstalk profile;
fin-count;
fin-length;
high-speed on-package interconnect application;
high-speed on-package signaling;
package routing design;
peak-to-peak FEXT magnitude;
signal overshoot;
three-dimensional passive electrical model;
transient analysis;
under-bump comb structure;
Conferences;
Electronics packaging;
78.
Study on electrical characteristics for active die embedding substrate
机译:
有源管芯嵌入基板的电学特性研究
作者:
Hyunho Kim
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
electroless deposition;
electroplating;
etching;
integrated circuit interconnections;
integrated circuit packaging;
integrated circuit reliability;
integrated circuit testing;
laser beam machining;
photolithography;
Cu;
active die embedded substrate;
cross-section test;
electrical characteristics;
electrode copper pads;
electroless copper plating;
electrolytic copper plating;
embedded active devices;
embedding process;
etching;
in-circuit test;
interconnection reliability;
lamination process;
laser drilling;
photolithography;
Cavity resonators;
Integrated circuit interconnections;
Reliability;
Substrates;
Testing;
Transmission line measurements;
Voltage measurement;
79.
The IMC formation and progress in the copper pillar Cu/Sn1.8Ag /OSP-Cu microbump structure upon current stressing
机译:
电流应力作用下铜柱Cu / Sn1.8Ag / OSP-Cu微凸块结构的IMC形成及进展
作者:
Chiao-Wen Chen
;
Kwang-Lung Lin
;
Ying-Ta Chiu
;
Chin-Li Kao
;
Chiu-Wen Lee
;
Ping-Feng Yang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
cracks;
current density;
integrated circuit metallisation;
integrated circuit packaging;
silver alloys;
solders;
three-dimensional integrated circuits;
tin alloys;
3D IC packaging;
Cu-SnAg-Cu;
copper pillar-microbump structure;
crack formation;
cross-section inspection;
current density;
electric current stressing;
flip solder bump;
intermetallic compounds formation;
solder-under bump metallization structure;
temperature 125 degC;
time 100 hr to 566 hr;
time 24 hr;
voids;
Compounds;
Current;
Electromigration;
Flip-chip devices;
Intermetallic;
Joints;
Soldering;
80.
Biocompatible packaging for implantable miniaturized pressure sensor device used for stent grafts: Concept and choice of materials
机译:
用于支架移植物的植入式微型压力传感器设备的生物相容性包装:概念和材料选择
作者:
Kirsten Sabine
;
Schubert Martin
;
Braunschweig Markus
;
Woldt Gregor
;
Voitsekhivska Tetiana
;
Wolter Klaus-Jurgen
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
application specific integrated circuits;
biosensors;
encapsulation;
flexible electronics;
polymers;
pressure sensors;
stents;
substrates;
ASIC;
adhesive strength;
aneurysm;
application-specific integrated circuit;
barrier property;
biocompatible packaging technology;
encapsulation material;
epoxy resin;
implantable electronic device;
implantable miniaturized flexible pressure sensor device;
parylene C;
passive component;
polyimide;
polymer substrate;
power consumption;
pressure distribution monitor;
silicone;
stent graft;
wireless data transmission;
wireless pressure sensor device;
Aneurysm;
Encapsulation;
Epoxy resins;
Polyimides;
Substrates;
81.
Thermal analyses of package-on-package (PoP) structure for tablet application
机译:
平板电脑应用的堆叠式封装(PoP)结构的热分析
作者:
Miaowen Chen
;
Leo Huang
;
Pan G.
;
Kao N.
;
Don Son Jiang
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ball grid arrays;
chip scale packaging;
computational fluid dynamics;
flip-chip devices;
integrated circuit packaging;
notebook computers;
thermal analysis;
thermal management (packaging);
bottom FCCSP package warpage;
bottom flip-chip chip scale package;
computational fluid dynamics;
die junction temperature;
die size;
external metal heat sink;
package-on-package structure;
solder joints;
tablet application;
thermal analyses;
thermal interface material;
top thin fine pitch ball grid array package;
underfill material effects;
Compounds;
Electronic packaging thermal management;
Junctions;
Materials;
Metals;
Temperature;
Thermal conductivity;
82.
Aging effect on creep properties of SnBi solders
机译:
时效对SnBi焊料蠕变性能的影响
作者:
Sakane M.
;
Yagi K.
;
Itoh T.
;
Yamashita M.
;
Hokazono H.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ageing;
alloying additions;
bismuth alloys;
copper alloys;
creep;
creep testing;
germanium alloys;
grain size;
nickel alloys;
silver alloys;
solders;
tin alloys;
EBSD observation;
SnBi;
SnBiAg;
SnBiAgCuNiGe;
additive element;
aging coarsened grain size;
aging effect;
creep property;
creep strain rate;
creep test;
elongated creep rupture lifetime;
solder system;
Aging;
Bismuth;
Creep;
Grain size;
Strain;
Testing;
Tin;
83.
Electromagnetic modeling and simulation of TSVs in 2.5D interposers for RFICs
机译:
用于RFIC的2.5D中介层中TSV的电磁建模和仿真
作者:
Kannan K.
;
Crouse D.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
crosstalk;
electromagnetic interference;
interference suppression;
radiofrequency integrated circuits;
three-dimensional integrated circuits;
2.5 D silicon interposers;
3D EM full wave solver;
Ansys HFSS software platform;
EMI tolerance behavior;
MOS structure;
RF dies;
RFIC system integration;
TSV;
analytical models;
baseband dies;
critical nets;
crosstalk;
electromagnetic interference;
electromagnetic modeling;
empirical models;
first cut design approximation;
process-related effects;
shielding improvement;
Analytical models;
Capacitance;
Electromagnetic modeling;
Silicon;
Substrates;
Three-dimensional displays;
Through-silicon vias;
84.
Thermal compression bonding with non-conductive adhesive of 30μm pitch Cu pillar micro bumps on organic substrate with bare Cu bondpads
机译:
用裸露的铜焊盘在有机衬底上用间距为30μm的铜柱微凸点的非导电粘合剂进行热压粘合
作者:
Jie Li Aw
;
Chow A.
;
Au K.Y.
;
Jong-Kai Lin
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
assembling;
flip-chip devices;
integrated circuit interconnections;
integrated circuit reliability;
tape automated bonding;
BoM;
CTE mismatch;
TCB-NCP;
assembly capability;
assembly challenges;
bare bondpads;
bill of materials;
coefficient of thermal expansion mismatch;
flip chip joint fatigue life;
nonconductive adhesive pillar microbumps;
nonconductive paste;
reliability assessment;
silicon chip;
solder cap volume effects;
temperature cycling tests;
thermal compression bonding;
two-layer FCCSP organic substrate;
ultra-fine pitch pillar flip chip interconnect;
Bonding;
Electronics packaging;
Flip-chip devices;
Joints;
Silicon;
Substrates;
85.
Methodology for more accurate assessment of heat loss in microchannel flow boiling
机译:
更精确评估微通道沸腾中热损失的方法
作者:
Jagirdar M.
;
Poh Seng Lee
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
boiling;
heat losses;
heat transfer;
microchannel flow;
exit vapour quality;
heat loss assessment;
heat transfer coefficient;
microchannel flow boiling;
pumping power requirement;
temperature uniformity;
Heat transfer;
Microchannels;
Resistance heating;
Temperature measurement;
Thermal resistance;
86.
Reliability of 3D package using wafer level underfill and low CTE epoxy mold compound materials
机译:
使用晶圆级底部填充和低CTE环氧模塑料的3D封装的可靠性
作者:
Cadacio F.
;
Rebibis K.J.
;
Capuz G.
;
Daily R.
;
Gerets C.
;
Sleeckx E.
;
Duval F.
;
Wang T.
;
Miller R.A.
;
Beyer G.
;
Beyne E.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
integrated circuit reliability;
moulding;
tape automated bonding;
wafer level packaging;
μbump;
3D IC packages;
3D package reliability;
3D stacks;
HTS;
IC assembly materials;
Jedec standard reliability tests;
MSL;
Moore law;
NUF;
PCT;
TCT-B;
TSV processing;
WLUF;
bump pitches;
capillary underfills;
daisy chain;
electrical tests;
jetting parameters;
low CTE epoxy mold compound materials;
mass reflow chip attach process;
no flow underfills;
package robustness;
processing complexities;
reliability readouts;
selection process;
staging conditions;
storage conditions;
terms delamination;
test vehicle;
thermocompression bonding;
thickness variations;
underfill material;
wafer level underfill;
warpage behavior;
Compounds;
Lamination;
Materials;
Semiconductor device reliability;
Stacking;
Three-dimensional displays;
87.
Enhanced stitch bonding concept for QFN package's Cu wirebonding process
机译:
QFN封装的铜引线键合工艺的增强针脚键合概念
作者:
Descartin Allen M.
;
Zhang XiaoLong
;
Sun Deguo
;
Li Jun
;
Yan BeiYue
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
Vickers hardness;
copper;
electronics packaging;
gold;
lead bonding;
palladium;
reliability;
tape automated bonding;
NSOL;
PPF base leadframe;
PPF leadframe;
QFN base package;
QFN package copper wirebonding process;
Vickers hardness;
capillary life;
conventional bonding parameter;
conventional bonding parameters;
copper wire bondability;
copper wire material;
enhanced stitch bonding concept;
gold wire bonding process;
leadframe bond finger surface;
manufacturability performance;
material thorough characterization;
nonstick-on-lead;
package reliability;
palladium;
parameter combination;
parameter concept;
pre-installed machine software;
pre-plated finish;
process parameter;
quad flat no-lead package;
scrub parameter;
segmented scrub application;
shortail alarm;
stitch bondability response;
thermosonic bonding;
typical bonding concept;
wirepeel strength;
Bonding;
Copper;
Force;
Gold;
Lead;
Surface treatment;
Wires;
88.
Wirebondability enhancement for very small die in power packages with dynamic simulation
机译:
动态仿真增强了功率封装中非常小的芯片的引线键合性
作者:
Xueren Zhang
;
Kim-Yong Goh
;
Yiyi Ma
;
Verano Tito
;
Fundan Raquel
;
Wingshenq Wong
;
Renard Loic
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesives;
elemental semiconductors;
finite element analysis;
lead bonding;
packaging;
silicon;
Si;
X-axis;
Y-axis;
dynamic behavior;
dynamic simulation;
finite element modeling;
glue material properties;
glue softening;
harmonic analysis;
material selection;
modal analysis;
package geometry;
power package module;
power packages;
process control;
root cause analysis;
silicon die;
very small die;
wire bondability enhancement;
Assembly;
Bonding;
Harmonic analysis;
Materials;
Solid modeling;
Vibrations;
Wires;
89.
Laminating thin glass onto glass carrier to eliminate grinding and bonding process for glass interposer
机译:
将薄玻璃层压到玻璃载体上,消除玻璃中介层的研磨和粘合过程
作者:
Tsai L.
;
Bor Kai Wang
;
Shorey A.
;
Lee A.
;
Jay Su
;
Huang B.
;
Wen-Wei Shen
;
Hsiang-Hung Chang
;
Chien C.H.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
glass;
integrated circuit bonding;
integrated circuit manufacture;
integrated circuit metallisation;
laser materials processing;
thermal stability;
three-dimensional integrated circuits;
3D-IC integration;
CTE flexibility;
RF performance;
TGV interposer wafer;
TGV wafer processing;
TGV wafer thickness;
UV range wavelength;
bonding material;
bonding material characteristics;
bonding process elimination;
center diameter;
chemical environment;
cost reduction concept;
dielectric material;
electrical isolation;
glass interposer;
grinding process elimination;
interposer fabrication process;
intrinsic characteristics;
laser de-bond method;
laser release layer;
laser scanning;
polymeric bonding material;
90.
Inter-connecting process investigation to resolve delamination
机译:
互连过程调查以解决分层
作者:
Lee B.
;
Chou S.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
circuit optimisation;
contamination;
delamination;
integrated circuit interconnections;
integrated circuit manufacture;
integrated circuit packaging;
microassembling;
contamination;
delamination;
die attach;
mass volume production;
process interconnection;
process optimization;
Compounds;
Contamination;
Delamination;
Lead;
Materials;
Microassembly;
Thermal stresses;
91.
Considerations for package routing for DRAM and NAND Flash memory
机译:
DRAM和NAND闪存的封装布线注意事项
作者:
Wang Ai-Chie
;
Chong Chin Hui
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
DRAM chips;
ball grid arrays;
flash memories;
integrated circuit design;
integrated circuit packaging;
network routing;
BOC interposer designs;
COB interposer designs;
DDR4 DRAM flash memory packages;
NV-DDR2 NAND flash memory packages;
board-on-chip ball grid array packages;
chip-on-board ball grid array packages;
high-speed devices;
package routing;
Flash memories;
Impedance;
Layout;
Routing;
Silicon;
Substrates;
Wires;
92.
Unit warpage control with universal die thickness
机译:
具有通用模具厚度的单元翘曲控制
作者:
Gu Bin
;
Dimaano J.
;
Chen R.
;
Bool E.
;
Seow Fui Shi
;
Choon Ghee Ang
;
Suthiwongsunthorn N.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
ball grid arrays;
integrated circuit reliability;
internal stresses;
microassembling;
moulding;
FEM simulation;
FPBGA package;
IC packaging;
die attach material;
mold cap thickness;
mold compound;
molding process;
residual stresses;
substrate thickness;
thermomechanical reliability concerns;
unit warpage control;
universal die thickness;
Compounds;
Electromagnetic compatibility;
Finite element analysis;
Microassembly;
Simulation;
Strips;
Substrates;
93.
Basic evaluation of Au micro-bumps formed by cyanide-free electroless Au plating process
机译:
无氰化学镀金工艺形成的金微凸块的基本评估
作者:
Watanabe N.
;
Nemoto S.
;
Kikuchi K.
;
Aoyagi M.
;
Tokuhisa T.
;
Owada T.
;
Kato M.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
X-ray photoelectron spectra;
electrical resistivity;
electroless deposition;
gold;
height measurement;
integrated circuit bonding;
integrated circuit packaging;
integrated circuit testing;
ion microscopy;
mechanical testing;
Au;
X-ray photoelectron spectroscopy;
bonding interface;
bump height measurement;
bump shear testing;
chip shear testing;
cyanide-free electroless gold plating;
daisy chain measurement;
electrical properties;
flip-chip bonding;
four-terminal measurement;
gold microbumps;
gold surface;
mechanical properties;
scanning ion microscope observation;
Annealing;
Bonding;
Cleaning;
Gold;
Pollution measurement;
Semiconductor device measurement;
Wiring;
94.
Study of electromigration behavior of Cu pillar with micro bump on fine pitch chip-to-substrate interconnect
机译:
细间距芯片与衬底互连上具有微凸点的铜柱的电迁移行为研究
作者:
Hsiao Hsiang Yao
;
Trigg A.D.
;
Chai Tai Chong
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
chemical interdiffusion;
copper alloys;
current density;
failure analysis;
integrated circuit interconnections;
Cusub3/subSn;
Kirkendall voids;
bump resistance;
current density;
current stressing;
current-induced failures;
electromigration behavior;
failure mechanism;
fine pitch chip-to-substrate interconnect;
intermetallic compound formation;
microbump;
porosities;
temperature 150 degC;
time 2000 hour;
Compounds;
Current density;
Electromigration;
Intermetallic;
Resistance;
Substrates;
Tin;
95.
The study of adhesive performance within backside-via revealing
机译:
背面通孔内粘合性能的研究
作者:
Li H.Y.
;
Ding Lixin
;
Lo G.Q.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
adhesive bonding;
delamination;
inspection;
three-dimensional integrated circuits;
3D integration;
IR inspection system;
TSV wafers;
adhesive performance;
backside dielectric deposition;
backside-via revealing;
delamination;
temporary bonding;
Bonding;
Curing;
Dielectrics;
Inspection;
Polymers;
Silicon;
Through-silicon vias;
96.
Integrated electronic and microfluidic packaging for CMOS biosensor chip
机译:
CMOS生物传感器芯片的集成电子和微流体封装
作者:
Mian Zhi Ding
;
Kantak C.
;
Rao V.S.
;
Mi Kyoung Park
;
Chee Chung Wong
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
CMOS integrated circuits;
adhesives;
biosensors;
chip scale packaging;
curing;
encapsulation;
microfabrication;
microfluidics;
silicones;
CMOS biosensor chip;
CMOS substrate film;
Dow Corning 3140 silicone-based adhesive;
ITO;
ITO glass lid material;
Si;
SiN;
SiN substrate material;
biocompatibility;
bond strength;
critical dimension;
encapsulation;
integrated electronic packaging;
maskless approach;
microfluidic channel fabrication;
microfluidic dam structures;
microfluidic packaging;
minimum microfluidic dam height;
test vehicles;
thermal curing epoxy;
ultraviolet curable epoxy;
ultraviolet curing acrylate adhesive;
Biosensors;
Bonding;
Glass;
Indium tin oxide;
Microfluidics;
Needles;
Packaging;
97.
Process development of multi-die stacking using 20 um pitch micro bumps on large scale dies
机译:
在大型裸片上使用20 um间距的微型凸块进行多裸片堆叠的工艺开发
作者:
Lee Jong Bum
;
Li J.A.J.
;
Woo D.R.M.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
copper;
integrated circuit interconnections;
microassembling;
three-dimensional integrated circuits;
3D integration;
TSV expansion;
electrical resistance;
large scale dies;
microbump stress;
multidie stacking;
multiple chip stacking structure;
process development;
reliability issues;
size 10 mum;
size 5 mum;
stacked chip package;
wafer level processes;
Bonding;
Etching;
Filling;
Metallization;
Stacking;
Three-dimensional displays;
Through-silicon vias;
98.
Experimental study of water absorption of electronic components and internal local temperature and humidity into electronic enclosure
机译:
电子元件的吸水率和内部局部温度和湿度进入电子外壳的实验研究
作者:
Conseil H.
;
Jellesen M.S.
;
Ambat R.
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
corrosion;
failure analysis;
humidity;
integrated circuit reliability;
packaging;
printed circuit manufacture;
printed circuits;
corrosion failure;
corrosion reliability;
electrochemical migration;
electronic component;
electronic enclosure;
electronic industry;
electronic product;
humidity level;
hygroscopic contamination;
interdigitated test comb pattern;
internal local temperature;
leakage current;
printed circuit board assembly;
sodium chloride;
water absorption;
Aluminum;
Corrosion;
Heating;
Humidity;
Leakage currents;
Materials;
99.
Development of low profile fan out PoP solution with embedded passive
机译:
具有嵌入式无源器件的薄型扇出PoP解决方案的开发
作者:
Boo Yang Jung
;
Ho D.S.W.
;
Velez Sorono D.
;
Lim S.P.S.
;
Zhaohui Chen
;
Han Yong
;
Bu Lin
;
Chai Tai Chong
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
electroless deposition;
logic devices;
passive networks;
silicon;
solders;
system-in-package;
three-dimensional integrated circuits;
wafer level packaging;
3D integration;
EMC material;
PCB substrate;
RDL layer formation;
Si;
SiP;
TMV;
application processor;
assembly process;
electroless plating;
embedded passive;
fan out wafer level packaging;
filler size;
logic device;
low profile fan out PoP solution;
mobile application;
mold flow simulation;
package on package;
package size;
package warpage;
passive component location optimization;
redistribution layer;
resin material;
silicon die;
solder ball count;
system in package;
thermomechanical simulation;
through mold via;
Assembly;
Electromagnetic compatibility;
Electronics packaging;
Packaging;
Shape;
Stacking;
Three-dimensional displays;
100.
Silver screen printed transmission lines- analyzing the influence of substrate roughness on the RF performance up to 30 GHz
机译:
银丝网印刷传输线-分析衬底粗糙度对高达30 GHz的RF性能的影响
作者:
Ying Ying Lim
;
Yee Mey Goh
;
Yoshida Manabu
;
Tung Thanh Bui
;
Vincent Tracey
;
Aoyagi Masahiro
;
Changqing Liu
会议名称:
《IEEE Electronics Packaging Technology Conference》
|
2014年
关键词:
electrical resistivity;
fabrics;
microwave materials;
printing;
silver;
sintering;
surface roughness;
waveguides;
Ag;
DC conductivity;
RF performance;
electrical resistivity;
flexible substrate;
screen printed traces;
silver screen printed transmission lines;
sintering conditions;
substrate roughness;
wearable electronics applications;
Conductivity;
Coplanar waveguides;
Printing;
Radio frequency;
Solid modeling;
Substrates;
Transmission line measurements;
意见反馈
回到顶部
回到首页