掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Junction Technology, 2009. IWJT 2009
Junction Technology, 2009. IWJT 2009
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通信世界
中国数字电视
无线电与电视
电源技术应用
数字通信世界
数字技术与应用
光电工程
电子机械工程
数字技术与应用
UPS应用
更多>>
相关外文期刊
Wireless Communications, IEEE
Progress in Quantum Electronics
Communications Magazine, IEEE
日経エレクトロニクス
RF Design
SMPTE Journal
Journal of the Communications Research Laboratory
Laser & photonics reviews
European transactions on telecommunications
Electronics & communication engineering journal
更多>>
相关中文会议
全国第九届微弱信号检测学术会议
中国通信学会信息通信网络技术委员会2007年年会
2011年第十三届全国消费电子技术年会暨数字电视研讨会
2014年科技强检电子信息系统研发与示范项目成果研讨会
中国通信学会2013年光缆电缆学术年会
第九届全国信号和智能信息处理与应用学术会议
中国电工技术学会电力电子学会第七次全国学术会议
第八届全国LED产业研讨与学术会议
节能光源与灯具技术经贸论坛暨浙江省第14届节能光源择优配套会议
信息产业部通信电源专业情报网2007年年会
更多>>
相关外文会议
Digital Signal Processing Workshop and 5th IEEE Signal Processing Education Workshop, 2009. DSP/SPE 2009
2012 IEEE Online Conference on Green Communications
Conference on Complex Mediums Ⅱ: Beyond Linear Isotropic Dielectrics Jul 30-Aug 1, 2001, San Diego, USA
Metamaterials: Fundamentals and applications VI
Sensors, and command, control, communications, and intelligence (C3I) technologies for homeland security and homeland defense XII
Advanced Semiconductor Epitaxial Growth Processes and Lateral and Vertical Fabrication
International Conference on Image and Graphics; 20041218-20; Hong Kong(CN)
Window and dome technologies and materials XIII
2017 IEEE 30th International Conference on Microelectronics
Independent Component Analyses, Wavelets, Unsupervised Smart Sensors, and Neural Networks IV
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Formation of USJ with cluster implants for 32nm node and beyond
机译:
用32nm及以上节点的簇状注入形成USJ
作者:
Sekar K.
;
Krull W.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
ion implantation;
semiconductor doping;
cluster implants;
cluster ion implantation;
energy contamination;
semiconductor device;
size 32 nm;
2.
Junction technologies for devices with steep subthreshold swing
机译:
具有陡峭亚阈值摆幅的器件的连接技术
作者:
Yee-Chia Yeo
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
field effect transistors;
semiconductor heterojunctions;
doping level;
impact ionization field-effect transistor;
material design;
strain engineering;
tunnel field-effect transistor;
3.
Diffusion-less ultra-shallow p
+
junction formation in Si using low-temperature solid phase epitaxy and non-melt laser annealing
机译:
低温固相外延和非熔融激光退火形成Si中的无扩散超浅p
+ sup> / n结
作者:
Kaneko R.
;
Hara S.
;
Fukaya T.
;
Matsumoto S.
;
Suzuki T.
;
Fuse G.
;
Kudo T.
;
Sakuragi S.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
annealing;
boron;
doping profiles;
elemental semiconductors;
germanium;
ion implantation;
leakage currents;
p-n junctions;
semiconductor doping;
silicon;
solid phase epitaxial growth;
Si:B;
Si:Ge;
crystallinity;
diffusion-less ultrashallow psup+/sup-n junction formation;
dopant profile;
electron volt energy 0.2 keV;
junction leakage current;
low-temperature solid phase epitaxy;
nonmelt laser annealing;
preamorphization implantation;
residual defects;
reverse bias;
Ultra-shallow junction;
low-temperature annealing;
n;
4.
Analyses of Random Threshold Voltage Fluctuations in MOS Devices
机译:
MOS器件中随机阈值电压波动的分析
作者:
Takeuchi K.
;
Tsunomura T.
;
Putra A.T.
;
Fukai T.
;
Nishida A.
;
Kamohara S.
;
Hiramoto T.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MIS devices;
MOSFET;
field effect transistors;
fluctuations;
MOS devices;
channel impurity;
nFET;
normalization method;
pFET;
random fluctuations;
threshold voltage fluctuations;
5.
Electrical transport characterization of nano CMOS devices with ultra-thin silicon film
机译:
具有超薄硅膜的纳米CMOS器件的电传输特性
作者:
Ghibaudo G.
;
Mouis M.
;
Pham-Nguyen L.
;
Bennamane K.
;
Pappas I.
;
Cros A.
;
Bidal G.
;
Fleury D.
;
Claverie A.
;
Benassayag G.
;
Fazzini P.-F.
;
Fenouillet-Beranger C.
;
Monfray S.
;
Boeuf F.
;
Cristoloveanu S.
;
Skotnicki T.
;
Collaert N.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS integrated circuits;
MOSFET;
Monte Carlo methods;
carrier mobility;
elemental semiconductors;
nanoelectronics;
silicon;
silicon-on-insulator;
2D Monte Carlo collision simulation;
FD-SOI;
FinFET;
MOS devices;
S-D implantation process;
Si;
channel diffusion scattering centres;
double gate transistors;
drain junction;
electrical transport characterization;
gate length dependence;
low temperature measurement;
mobility;
nano CMOS devices;
neutral point defect;
short channel devices;
source junction;
ultrathin silicon fi;
6.
The impact of junction depth on vertical sidewall MOSFETs with embedded gate
机译:
结深对带有嵌入式栅极的垂直侧壁MOSFET的影响
作者:
Chih-Hao Kuo
;
Jyi-Tsong Lin
;
Tai-Yi Lee
;
Yi-Chuen Eng
;
Tzu-Feng Chang
;
Po-Hsieh Lin
;
Hsuan-Hsu Chen
;
Chih-Hung Sun
;
Hsien-Nan Chiu
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
elemental semiconductors;
etching;
semiconductor junctions;
silicon;
Si;
TCAD simulations;
embedded gate structure;
gate formation;
junction depth;
lightly-doped drain;
silicon etching;
size 2.5 nm;
vertical sidewall MOSFET;
7.
Study of damage accumulation and annealing process at low energy boron implantation using molecular dynamics simulations
机译:
利用分子动力学模拟研究低能硼注入过程中的损伤积累和退火过程
作者:
Aoki T.
;
Matsuo J.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
annealing;
boron;
elemental semiconductors;
interstitials;
ion implantation;
molecular dynamics method;
recrystallisation;
silicon;
Si:B;
annealing simulations;
low energy boron implantation;
molecular dynamics simulations;
surface damage recrystallisation;
temperature 1800 K to 2200 K;
8.
Junction anneal sequence optimization for advanced high-k / metal gate CMOS technology
机译:
用于高级高k /金属栅CMOS技术的结退火序列优化
作者:
Ortolland C.
;
Ragnarsson L.-A.
;
Kerner C.
;
Chiarella T.
;
Rosseel E.
;
Okuno Y.
;
Favia P.
;
Richard O.
;
Everaert J.-L.
;
Schram T.
;
Kubicek S.
;
Absil P.P.
;
Biesemans S.
;
Schreutelkamp R.
;
Hoffmann T.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS integrated circuits;
high-k dielectric thin films;
laser beam annealing;
La-based capping;
NMOS;
PMOS;
advanced high-k CMOS technology;
eWF modulation penalty;
high-k capping film;
junction anneal sequence optimization;
laser spike annealing;
metal gate CMOS technology;
9.
Influence of interfacial structure on electrical properties of metal/Ge Schottky contacts
机译:
界面结构对金属/ Ge肖特基触头电性能的影响
作者:
Nakatsuka O.
;
Akimoto S.
;
Nishimura T.
;
Zaima S.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
Schottky barriers;
electric properties;
elemental semiconductors;
germanium;
interface structure;
semiconductor-metal boundaries;
Ge;
crystalline structure;
electrical properties;
interfacial structure;
metal-n-Ge Schottky contacts;
10.
Advanced technologies for enhancing drive current of high-density transistors
机译:
增强高密度晶体管驱动电流的先进技术
作者:
Fukutome H.
;
Momiyama Y.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
driver circuits;
field effect transistors;
scaling circuits;
drive current enhancement;
high-density transistors;
mobility enhancement;
parasitic resistance reduction;
planar FETs;
scaling inversion gate dielectric thickness;
source/drain extension;
11.
Implant damage evaluation at high energy and low dose ion implantation using white defect of CCD image sensor
机译:
利用CCD图像传感器的白色缺陷评估高能和低剂量离子植入时的植入物损伤
作者:
Kanazaki E.
;
Iwawaki N.
;
Kawase F.
;
Shibata S.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CCD image sensors;
ion beam effects;
ion implantation;
semiconductor doping;
CCD image sensor;
CMOSFETs;
beam irradiation time;
high energy implantation;
ion implant damage;
low dose implantation;
white defect;
12.
Anomalous amorphization resistance of Ge against
11
B
+
implantation
机译:
Ge对
11 sup> B
+ sup>注入的反常抗非晶化性
作者:
Shibahara K.
;
Osada K.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
amorphisation;
boron;
elemental semiconductors;
germanium;
ion beam effects;
ion implantation;
radiation hardening (electronics);
vacancies (crystal);
Ge:B;
anomalous amorphization resistance;
boron ion implantation;
critical amorphization dose;
multiple vacancy complex;
radiation hardness;
13.
Advanced plasma doping technique for USJ
机译:
USJ的先进等离子体掺杂技术
作者:
Godet L.
;
Papasouliotis G.D.
;
Kontos A.
;
Miller T.
;
Singh V.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS logic circuits;
doping profiles;
electrical resistivity;
incoherent light annealing;
plasma immersion ion implantation;
semiconductor doping;
semiconductor junctions;
USJ;
advanced Logic CMOS devices;
advanced plasma doping;
dopant profile control;
flash annealing;
junction depth;
n-type dopant precursors;
profile abruptness;
sheet resistance;
source drain extension implants;
ultra shallow junctions;
14.
Stress measurement of carbon cluster implanted layers with in-plane diffraction technique
机译:
面内衍射技术测量碳簇注入层的应力
作者:
Matsuo J.
;
Ichiki K.
;
Hada M.
;
Ninomiya S.
;
Seki T.
;
Aoki T.
;
Nagayama T.
;
Tanjyo M.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
X-ray diffraction;
annealing;
elemental semiconductors;
ion implantation;
molecular clusters;
organic compounds;
silicon;
Csub7/subHsub7/sub;
Si:Jk;
cluster ion implantation;
flash lamp annealing;
in-plane diffraction technique;
multiple collisions;
semiconductor manufacturing;
strain engineering;
stress measurement;
tropylium ion;
ultra shallow junction formation;
15.
Influence of amorphization depth on sheet resistance in shallow junction formation with B cluster implantation
机译:
B簇注入在浅结形成中非晶化深度对薄层电阻的影响
作者:
Kawasaki Y.
;
Maruyama Y.
;
Yoshimura H.
;
Miyatake H.
;
Shibahara K.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
amorphisation;
amorphous semiconductors;
boron;
electrical resistivity;
elemental semiconductors;
ion implantation;
semiconductor junctions;
silicon;
B cluster implantation;
Bsub18/subHsubX/sub sup+/sup;
Si:B;
amorphization depth;
shallow junction formation;
sheet resistance;
16.
Two-dimensional carrier profiling with sub-nm resolution using SSRM: From basic concept to TCAD calibration and device tuning
机译:
使用SSRM的亚纳米级分辨率的二维载波分析:从基本概念到TCAD校准和设备调整
作者:
Eyben P.
;
Vemula S.-C.
;
Noda T.
;
Vandervorst W.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
calibration;
semiconductor process modelling;
technology CAD (electronics);
2D carrier profiling;
65nm technology node devices;
HV-SSRM;
TCAD calibration;
device tuning;
high vacuum scanning spreading resistance microscopy;
process models;
process simulation;
process/device simulators;
size 65 nm;
sub-nm resolution;
17.
Growth mechanism of epitaxial NiSi
2
in atomic-scale for Schottky source/drain in Silicon Nanowire transistors
机译:
硅纳米线晶体管中肖特基源极/漏极的原子尺度外延NiSi
2 inf>生长机理
作者:
Migita S.
;
Morita Y.
;
Taoka N.
;
Mizubayashi W.
;
Ota H.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
Schottky gate field effect transistors;
elemental semiconductors;
epitaxial growth;
nanoelectronics;
nanowires;
nickel alloys;
semiconductor epitaxial layers;
semiconductor materials;
silicon;
silicon alloys;
NiSisub2/sub;
Schottky source/drain;
atomic-scale;
epitaxial growth mechanism;
field effect transistors;
lattice matching;
mechanical properties;
nickel silicide phases;
parasitic resistances;
silicidation process;
silicon nanowire transistors;
18.
Blank page
机译:
空白页
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
19.
Copyright
机译:
版权
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
20.
Preface
机译:
前言
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
21.
Sponsor
机译:
赞助
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
22.
Research and development strategies to fast advance China's semiconductor industry
机译:
快速发展中国半导体产业的研发策略
作者:
Ran Liu
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
economics;
electronic commerce;
production management;
semiconductor device manufacture;
semiconductor industry;
economic growth;
electronic market;
global semiconductor manufacturing;
high-tech industry;
national microelectronics industry;
production efficiency;
23.
Correlation of device performance to die-level stress variations
机译:
器件性能与芯片级应力变化之间的关系
作者:
Owen D.M.
;
Otten C.
;
Haowen Bu
;
Yun Wang
;
Shetty S.
;
Hebb J.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
stress analysis;
CGS stress metrology;
NMOS devices;
PMOS devices;
advanced technology nodes;
device performance correlation;
die-level stress variation;
size 65 nm;
strain engineering;
throughout device fabrication;
24.
Title page
机译:
封面
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
annealing;
integrated circuit technology;
nanoelectronics;
semiconductor doping;
semiconductor materials;
advanced integration;
defects;
doping;
germanides;
junction technology;
metrology;
nanodevice;
silicides;
25.
Dopant segregated Schottky S/D and application to high performance MOSFETs
机译:
掺杂剂隔离的肖特基S / D及其在高性能MOSFET中的应用
作者:
Kinoshita A.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS integrated circuits;
MOSFET;
Schottky barriers;
CMOS ring oscillator;
Schottky barrier height;
carrier velocity;
dopant-segregated Schottky;
metal-source-drain transistor;
parasitic resistance;
26.
Transistor evolution for CMOS extension and future information processing technologies
机译:
CMOS扩展和未来信息处理技术的晶体管发展
作者:
Hiramoto T.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS integrated circuits;
MOSFET;
beyond CMOS devices;
extended CMOS;
transistor evolution;
27.
Impact of laser spike annealing dwell time on wafer stress and photolithography overlay errors
机译:
激光峰值退火停留时间对晶圆应力和光刻覆盖误差的影响
作者:
Shetty S.
;
Jain A.
;
Owen D.M.
;
Mileham J.
;
Hebb J.
;
Yun Wang
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
Ge-Si alloys;
laser beam annealing;
photolithography;
SiGe;
closed-loop temperature control;
coherent gradient sensing;
laser spike annealing dwell time;
micro-second laser annealing;
pattern effects;
photolithography overlay errors;
strain relaxation;
thermal annealing;
wafer deformation;
wafer stress;
28.
Back page
机译:
后页
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
29.
A comparative study of double gate MOSFET with asymmetric barrier heights at source/drain and the symmetric DG-SBFET
机译:
源极/漏极势垒高度不对称的双栅极MOSFET和对称DG-SBFET的比较研究
作者:
Du Xiong-Xiong
;
Sun Lei
;
Liu Xiao-Yan
;
Han Ru-Qi
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
Schottky barriers;
Schottky barrier source/drain MOSFET;
asymmetric barrier heights;
double gate MOSFET;
low operating power;
low standby power technology;
metal oxide semiconductor field effect transistor;
short channel effects;
symmetric DG-SBFET;
30.
Anomalous behavior in the dependence of carrier activation on implant dose for extremely shallow source/drain extensions activated by flash lamp annealing
机译:
对于极浅的源极/漏极扩展,通过闪光灯退火激活,载流子激活对注入剂量的依赖性异常行为
作者:
Kato S.
;
Onizawa T.
;
Aoyama T.
;
Ikeda K.
;
Ohji Y.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
carrier density;
doping profiles;
incoherent light annealing;
ion implantation;
semiconductor doping;
semiconductor junctions;
solid phase epitaxial growth;
CMOS devices;
carrier activation;
carrier concentration;
complimentary metal-oxide-semiconductor devices;
dopant concentration;
extremely shallow source/drain extensions;
flash lamp annealing;
high dopant activation;
implant dose;
laser annealing;
millisecond annealing;
solid-phase epitaxial regrowth annealing;
ultra-shallow junctions;
31.
Electron holography for 2-D dopant profiling
机译:
电子全息图,用于二维掺杂剂分析
作者:
Gribelyuk M.A.
;
Jun Yuan
;
Gluschenkov O.
;
Ronsheim P.
;
Huiling Shang
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
doping profiles;
electron holography;
p-n junctions;
transmission electron microscopy;
2D dopant profiling;
SIMS data;
TEM;
boron halo diffusion;
carbon co-implant;
millisecond laser anneal;
n-FET devices;
off-axis holography;
short channel device characteristics;
submicron devices;
transmission electron microscope;
32.
Blank page
机译:
空白页
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
33.
Characterization of junction activation and deactivation using non-equilibrium annealing: Solid phase epitaxy, spike annealing, laser annealing instructions for
机译:
使用非平衡退火表征结激活和失活:固相外延,尖峰退火,激光退火说明
作者:
Bersani M.
;
Pepponi G.
;
Giubertoni D.
;
Gennaro S.
;
Sahiner M.A.
;
Kelty S.P.
;
Kah M.
;
Kirkby K.J.
;
Doherty R.
;
Foad M.A.
;
Meirer F.
;
Streli C.
;
Woicik J.C.
;
Pianetta P.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
EXAFS;
Hall effect;
arsenic;
electrical resistivity;
elemental semiconductors;
ion implantation;
laser beam annealing;
rapid thermal annealing;
secondary ion mass spectra;
semiconductor doping;
semiconductor epitaxial layers;
semiconductor junctions;
silicon;
solid phase epitaxial growth;
As junctions;
RTP;
SIMS;
SPER;
Si:As;
active carrier doses;
extended X-ray absorption fine structure;
junction activation;
junction deactivation;
laser annealing;
laser sub-melt annealing;
nonequilibrium annealing;
rapid thermal process;
34.
Characterization of uni-axially stressed Si and Ge concentration in Si
1-x
Ge
x
using polychromator-based multi-wavelength Raman spectroscopy
机译:
基于多色仪的多波长拉曼光谱表征Si
1-x inf> Ge
x inf>中的单轴应力Si和Ge浓度
作者:
Woo Sik Yoo
;
Ueda T.
;
Kang K.
会议名称:
《》
|
2009年
关键词:
Ge-Si alloys;
Raman spectroscopy;
epitaxial growth;
nondestructive testing;
semiconductor industry;
MRS-300;
Raman measurement;
Sisub1-x/subGesubx/sub;
in-line process monitoring;
lattice stress/strain;
measurement stability;
multiwavelength Raman spectroscopy;
nondestructive test;
polychromator;
uniaxial stress;
35.
Multi-functional annealing using flexibly-shaped-pulse flash lamp annealing (FSP-FLA) for high-k/metal gated CMOS devices
机译:
使用高形/金属门控CMOS器件的灵活形状脉冲闪光灯退火(FSP-FLA)进行多功能退火
作者:
Aoyama T.
;
Kato S.-i.
;
Onizawa T.
;
Ikeda K.
;
Ohji Y.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
CMOS integrated circuits;
carrier mobility;
high-k dielectric thin films;
incoherent light annealing;
semiconductor junctions;
thermal diffusion;
bias-temperature instability;
complimentary metal-oxide-semiconductor devices;
flexibly-shaped-pulse flash lamp annealing;
functional annealing data;
high-k/metal gated CMOS devices;
multifunctional annealing;
ultra-shallow junction;
36.
Fundamental study on the impact of C co-implantation on ultra shallow B juntions
机译:
C共注入对超浅B结影响的基础研究
作者:
Zschatzsch G.
;
Vandervorst W.
;
Hoffmann T.
;
Everaert J.-L.
;
del Agua Borniquel J.I.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
boron;
carbon;
carrier mobility;
diffusion;
doping profiles;
elemental semiconductors;
interstitials;
ion implantation;
plasma materials processing;
semiconductor doping;
silicon;
Si:B,C;
carbon co-implantation;
interstitial;
junction depth reduction;
plasma;
sheet resistance;
transient enhanced diffusion;
ultra shallow boron juntions;
37.
Recent advance in single-ion implantation method for single-dopant devices
机译:
单掺杂器件单离子注入方法的最新进展
作者:
Shinada T.
;
Hori M.
;
Taira K.
;
Endoh T.
;
Ohdomari I.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
doping profiles;
focused ion beam technology;
impurity distribution;
ion implantation;
semiconductor doping;
dopant distributions;
single dopant atoms;
single-dopant devices;
single-ion implantation method;
38.
V
th
control by halo implantation using the SEN's MIND system
机译:
使用SEN的MIND系统通过光晕植入控制第V
inf>
作者:
Ninomiya S.
;
Kimura Y.
;
Kudo T.
;
Ochi A.
;
Sato F.
;
Tsukihara M.
;
Fuse G.
;
Sugitani M.
;
Tada K.
;
Kamiyanagi H.
;
Shibata S.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
integrated circuit yield;
ion implantation;
semiconductor device manufacture;
SEN MIND system;
device yield improvement;
halo implantation;
manufacturing process;
mapping of intentional nonuniform dosage system;
semiconductor device;
threshold voltage control;
39.
Plasma Doping for 3D and 2D devices
机译:
3D和2D设备的等离子掺杂
作者:
Mizuno B.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
plasma materials processing;
semiconductor doping;
semiconductor junctions;
2D devices;
3D devices;
conformal junctions;
controllable ultra-shallow junctions;
gas plasma;
planar FET;
process controllability;
self-regulation plasma doping;
40.
Molecular carbon implant technology for ultra-shallow junction formation and n-MOSFET strain application in a 40nm node logic device
机译:
在40nm节点逻辑器件中用于超浅结形成和n-MOSFET应变应用的分子碳注入技术
作者:
Kuo P.
;
Ching I Li
;
Po Wei Liu
;
Hsien Hsiu Lai
;
Liu R.
;
Chan M.
;
Yang C.N.
;
Wu J.Y.
;
Chang B.
;
Tien E.
;
Shiu J.
;
Rubin L.
;
Tieger D.
;
Ameen M.S.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
annealing;
carbon;
field effect transistors;
ion implantation;
logic devices;
molecular electronics;
semiconductor junctions;
annealing schemes;
device scaling;
junction leakage;
molecular carbon implant technology;
monomer carbon co-implant;
n-MOSFET strain application;
node logic device;
p-FET extension sequence;
p-MOSFET SDE doping process;
pre-amorphization implant;
pre-amorphization step;
silicon interstitials;
source/drain extensions;
transient enhanced diffusion;
ultra-shallow junction formation;
41.
Ultra-shallow Carborane molecular implant for 22-nm node p-MOSFET performance boost
机译:
超浅碳硼烷分子植入物可提高22nm节点p-MOSFET的性能
作者:
Colombeau B.
;
Thanigaivelan T.
;
Arevalo E.
;
Toh T.
;
Miura R.
;
Ito H.
会议名称:
《Junction Technology, 2009. IWJT 2009》
|
2009年
关键词:
MOSFET;
boron;
carbon;
B;
Ca;
PMOS transistor;
p-MOSFET performance boost;
pMOS S/D-extension;
size 22 nm;
surface Boron;
ultra-shallow Carborane molecular implant;
意见反馈
回到顶部
回到首页