掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Optical microlithography XXVI
Optical microlithography XXVI
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
世界专业音响与灯光
无线电工程
信息网络
印制电路信息
世界电子元器件
数字世界
电子元器件资讯
中国电子杂志(英文版)
中国信息界-e制造
电子技术
更多>>
相关外文期刊
Electronic Design
電子情報通信学会誌
IEEE transactions on device and materials reliability
Electrical Design News
Mobile networks & applications
International Journal of Network Management
Optical Switching and Networking
電子材料
Journal of Electronic Packaging
Electronic and Radio Engineers, Proceedings of the Institution of
更多>>
相关中文会议
2012TD-LTE网络创新研讨会
2007中国高端SMT学术会议
中国通信学会通信管理委员会第29届学术研讨会
第九届全国可靠性物理学术讨论会
中国真空学会五届三次理事会暨学术会议
第五届全国电磁兼容学术会议
2013四川省电子学术年会
全国第二届导航战学术研讨会
2007年光电探测与制导技术的发展与应用研讨会
2011全国认知无线网络学术会议
更多>>
相关外文会议
Laser Materials Processing Conference ICALEO '99 Pt.1, Nov 15-18, 1999, San Diego, CA USA
Conference on Optomechatronic Systems Ⅱ Oct 29-31, 2001, Newton, USA
International symposium on functional diversification of semiconductor electroncis
2016 International Conference on Cogeneration, Small Power Plants and District Energy
Chemical mechanical polishing 14
2013 8th International ICST Conference on Communications and Networking in China
Symposium on Critical Interfacial Issues in Thin-Film Optoelectronic and Energy Conversion Devices; 20031201-20031203; Boston,MA; US
5G Radio Technology Seminar: Exploring Technical Challenges in the Emerging 5G Ecosystem
Mathematics of data/image coding, compression, and encryption with applications XII
Algorithms for Synthetic Aperture Radar Imagery XIII
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Lithography imaging control by enhanced monitoring of light source performance
机译:
通过增强对光源性能的监控来控制光刻成像
作者:
Paolo Alagna
;
Omar Zurita
;
Ivan Lalovic
;
Nakgeuon Seong
;
Gregory Rechtsteiner
;
Joshua Thornes
;
Koen Dhave
;
Lieve Van Look
;
Joost Bekaert
会议名称:
《Optical microlithography XXVI》
|
2013年
2.
Accurate 3DEMF mask model for full-chip simulation
机译:
用于全芯片仿真的精确3DEMF掩模模型
作者:
Michael C. Lam
;
Kostas Adam
;
David Fryer
;
Christian Zuniga
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
3DEMF;
mask model;
simulation;
Domain Decomposition Method;
DDM;
crosstalk;
3.
3D Lithography for Implant Applications
机译:
用于植入物的3D光刻
作者:
Jens Schneider
;
Henning Feick
;
Dieter Kaiser
;
Marcel Heller
;
Daniel Sarlette
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
grayscale;
3D lithography;
implant;
devices optimization;
4.
Compatibility of Optimized Source over Design Changes in the Foundry Environment
机译:
代工环境中优化源与设计变更之间的兼容性
作者:
Jojo Pei
;
Feng Shao
;
Omar ElSewefy
;
Cynthia Zhu
;
Verne Xu
;
Yu Zhu
;
Liguo Zhang
;
Xuelong Shi
;
Qingwei Liu
;
Aasutosh Dave
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SMO;
mbSRAF;
pxOPC;
ILT;
source optimization;
RET;
clip selection;
pattern selection;
5.
Sidewall Profile Inclination Modulation Mask (SPIMM): Modification of an Attenuated Phase-Shift Mask for Single-Exposure Double and Multiple Patterning
机译:
侧壁轮廓倾斜调制掩模(SPIMM):衰减式相移掩模的修改,用于单次曝光两次和多次图案化
作者:
Frederick T. Chen
;
Wei-Su Chen
;
Ming-Jinn Tsai
;
Tzu-Kun Ku
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
multiple patterning;
double patterning;
attenuated phase-shift mask;
multi-patterning;
6.
Avoiding Wafer-Print Artifacts in Spacer Is Dielectric (SID) Patterning
机译:
避免垫片中的晶圆印刷伪像是电介质(SID)图案
作者:
Gerard Luk-Pat
;
Ben Painter
;
Alex Miloslavsky
;
Peter De Bisschop
;
Adam Beacham
;
Kevin Lucas
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
self-aligned double patterning;
SADP;
spacer is dielectric;
SID;
decomposition;
printing artifacts;
spurs;
7.
Introducing a Novel Flow to Estimate Challenges Encountered While Transitioning from RET Development to Manufacturable Solution
机译:
引入一种新颖的流程来估算从RET开发向可制造解决方案过渡时遇到的挑战
作者:
Jacky Cheng
;
Robin Chia
;
Ying Gong
;
Omar ElSewefy
;
GekSoon Chua
;
YeeMei Foong
;
Aasutosh Dave
;
Alvin Chua
;
DongQing Zhang
;
Vlad Liubich
;
Pat Lacour
;
Alex Tritchkov
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SMO;
mbSRAF;
pxOPC;
source optimization;
RET;
8.
Mask compensation for process flare in 193nm very low K1 lithography
机译:
在193nm非常低的K1光刻工艺中对工艺光斑进行掩模补偿
作者:
Jeonkyu Lee
;
Taehyeong Lee
;
Sangjin Oh
;
Chunsoo Kang
;
Jungchan Kim
;
Jaeseung Choi
;
Chanha Park
;
Hyunjo Yang
;
Donggyu Yim
;
Munhoe Do
;
Irene Su
;
Hua Song
;
Jung-Hoe Choi
;
Yongfa Fan
;
Anthony Chunqing Wang
;
Sung-Woo Lee
;
Robert Boone
;
Kevin Lucas
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
193nm lithography;
DRAM patterning;
flare;
chemical flare;
long range OPC;
9.
The Studies of SMO Process on Cont Layer of 20nm Node
机译:
20nm节点连续层上的SMO工艺研究
作者:
Wei Cyuan Lo
;
Yung Feng Cheng
;
Ming Jui Chen
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SMO;
NTD;
RET;
10.
Wavefront testing of pinhole based on point diffraction interferometer
机译:
基于点衍射干涉仪的针孔波前测试
作者:
Jia Xin
;
Xing Tingwen
;
Xu jiajun
;
Lin wumei
;
Liao zhijie
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Metrology;
Optical Testing;
Pinhole;
Wavefront Testing;
Absolute Testing;
11.
Effects of focus difference of nested and isolated features for scanner proximity matching
机译:
嵌套和孤立特征的聚焦差对扫描仪接近度匹配的影响
作者:
Guoxiang Ning
;
Paul Ackmann
;
Huipeng Koh
;
Frank Richter
;
Matthias Ruhm
;
Jens Busch
;
Norman Chen
;
Karin Kurth
;
Andre Leschok
;
Chin Teong Lim
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
proximity matching;
optical proximity correction;
focus difference;
12.
The impact of realistic source shape and flexibility on source mask optimization
机译:
实际光源形状和灵活性对光源掩模优化的影响
作者:
Hajime Aoyama
;
Yasushi Mizuno
;
Noriyuki Hirayanagi
;
Naonori Kita
;
Ryota Matsui
;
Hirohiko Izumi
;
Keiichi Tajima
;
Joachim Siebert
;
Wolfgang Demmerle
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Source Mask Optimization;
Intelligent Illuminator;
co-optimization;
source constrain;
process window;
scanner matching;
immersion;
13.
Solutions with precise prediction for thermal aberration error in low-k1 immersion lithography
机译:
在低k1浸没式光刻中精确预测热像差误差的解决方案
作者:
Kazuya Fukuhara
;
Akiko Mimotogi
;
Takuya Kono
;
Hajime Aoyama
;
Taro Ogata
;
Naonori Kita
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Thermal aberration;
diffraction;
illumination;
immersion lithography;
ThAO;
Imaging master;
14.
SMO and NTD for Robust Single Exposure Solution on Contact Patterning for 40nm Node Flash Memory Devices
机译:
SMO和NTD提供了针对40nm节点闪存器件的接触图案的鲁棒单次曝光解决方案
作者:
Chih-Chieh Yu
;
C. C. Yang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Single exposure;
NTD;
SMO;
OMOG;
free form source;
process window;
CDU;
MEEF;
15.
Pixel-based inverse lithography using a mask filtering technique
机译:
使用掩膜滤波技术的基于像素的反光刻
作者:
Wen Lv
;
Qi Xia
;
Shiyuan Liu
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Inverse Lithography Technology (ILT);
computational efficiency;
manufacturability;
regularization;
mask filtering technique;
edge distance error (EDE);
16.
Hybrid OPC technique using model based and rule based flows
机译:
使用基于模型和基于规则的流的混合OPC技术
作者:
Mohammed Harb
;
Hesham Abdelghany
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
Model-based OPC;
Rule-based OPC;
hint offset;
tagging;
17.
Analytical Equation Predicting the Forbidden Pattern Pitch for Phase-Shifting Mask
机译:
预测相移掩模的禁止图案间距的解析方程
作者:
Junichi Tamaki
;
Masato Shibuya
;
Nakadate Suezou
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
optical lithography;
depth of focus;
resolution;
phase-shifting mask;
forbidden pitch;
PSM;
DMD;
18.
Compact OPC model optimization using emulated data
机译:
使用仿真数据的紧凑型OPC模型优化
作者:
Artak Isoyan
;
Thomas Mulders
;
Craig Westwood
;
Lawrence S. Melvin Ⅲ
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Modeling;
OPC;
lithography;
metrology;
19.
Studies of a suitable mask error enhancement factor for 2D patterns
机译:
研究适用于2D模式的掩模误差增强因子
作者:
Chih I Wei
;
Yung Feng Cheng
;
Ming Jui Chen
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
mask;
MEEF;
simulation;
process window;
20.
Imaging Quality Full Chip Verification for Yield Improvement
机译:
成像质量全芯片验证可提高产量
作者:
Qing Yang
;
CongShu Zhou
;
ShyueFong Quek
;
Mark Lu
;
YeeMei Foong
;
JianHong Qiu
;
Taksh Pandey
;
Russell Dover
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
image intensity;
NILS;
MEEF;
LMC;
21.
OPC resist model separability validation after SMO source change
机译:
更改SMO源后,OPC抵抗模型可分离性验证
作者:
Werner Gillijns
;
Jeroen Van de Kerkhove
;
Darko Trivkovic
;
Peter De Bisschop
;
David Rio
;
Stephen Hsu
;
Mu Feng
;
Qiang Zhang
;
Hua-yu Liu
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Tachyon SMO;
OPC model calibration;
computational lithography;
FEM model;
LMC;
experimental model-quality verification;
separability;
22.
Source and mask optimization to mitigate hotspots in etch process
机译:
源极和掩模优化可减轻蚀刻过程中的热点
作者:
Yuko Kono
;
Yasunobu Kai
;
Kazuyuki Masukawa
;
Sayaka Tamaoki
;
Takaki Hashimoto
;
Taiki Kimura
;
Ryota Aburada
;
Toshiya Kotani
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
lithography;
SMO;
resist profile;
etch process;
low-k_1;
computational lithography;
23.
Global source optimization for MEEF and OPE
机译:
针对MEEF和OPE的全局源优化
作者:
Ryota Matsui
;
Tomoya Noda
;
Hajime Aoyama
;
Naonori Kita
;
Tomoyuki Matsuyama
;
Donis Flagello
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Freeform source optimization;
Source Mask Optimization (SMO);
Mask Error Enhancement Factor (MEEF);
Optical Proximity Effect (OPE);
Linear Program (LP);
Intelligent Illuminator;
Source grid dependency;
24.
Multiple-Step Process Window Aware OPC for Hyper-NA Lithography
机译:
适用于Hyper-NA光刻的多步骤过程窗口感知OPC
作者:
C.T. Hsuan
;
C.M. Hu
;
Fred Lo
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
;
Chih-Yuan Lu
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
PWOPC;
multiple-step PWOPC;
CD tolerance;
process window;
25.
Simulation of spacer-based SADP (Self-Aligned Double Patterning) for 15nm half pitch
机译:
针对15nm半间距的基于间隔物的SADP(自对准双图案)仿真
作者:
Stewart Robertson
;
Patrick Wong
;
Janko Versluijs
;
Vincent Wiaux
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SADP;
EUV;
lithography simulation;
26.
Best Focus Shift Mitigation for Extending the Depth of Focus
机译:
最佳焦点偏移减轻功能,可扩展焦点深度
作者:
A.Szucs
;
J.Planchot
;
V.Farys
;
E.Yesilada
;
C.Alleaume
;
L.Depre
;
R.Dover
;
C.Gourgon
;
M.Besacier
;
A. Nachtwein
;
P. Rusu
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Best Focus Shift;
Depth of Focus;
Tachyon SMO-FW;
Flex WaveTM;
Scanning Electron Microscopy (SEM);
27.
Mask 3D Effects on contact layouts of 1Xnm NAND Flash Devices
机译:
遮罩3D对1Xnm NAND闪存器件的触点布局的影响
作者:
Jongwon Jang
;
Hyunjeong Jeong
;
Hyungsoon Yune
;
Seyoung Oh
;
Hyunjo Yang
;
Donggyu Yim
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Mask 3D Effect;
Best Focus Shift;
SRAF Optimization;
28.
Interference Harmonics and Rigorous EM Spectrum Analysis Method for Low-k_1 CD Bossung Tilt Correction
机译:
Low-k_1 CD Bossung倾斜校正的干扰谐波和严格的EM频谱分析方法
作者:
Shuo-Yen Chou
;
Hoi-Tou Ng
;
Yi-Yin Chen
;
Chien-Fu Lee
;
Ru-Gun Liu
;
Tsai-Sheng Gau
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Bossung tilt;
Best focus shift;
Interference harmonic analysis;
Lens aberration;
Mask-3D effect;
Phase compensation;
Source optimization;
low-k_1 lithography;
29.
Imaging Application tools for extremely low-k1 ArF immersion lithography
机译:
用于极低k1 ArF浸没式光刻的成像应用工具
作者:
Shinichi Mori
;
Hajime Aoyama
;
Taro Ogata
;
Ryota Matsui
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Immersion lithography;
computational lithography;
imaging application tools;
SMO;
overlay;
freeform illumination;
thermal aberration;
30.
Study of recent CFD-based scheme for analyzing 3D mask effects
机译:
最近基于CFD的用于分析3D蒙版效果的方案的研究
作者:
M. Takahashi
;
K. Kodera
;
M. Motokubota
;
Y. Kawabata
;
S. Maeda
;
S. Nojima
;
S. Tanaka
;
S. Mimotogi
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Simulation;
mask topography;
best focus shift;
electromagnetic field;
non-uniform mesh;
MoC;
CIP;
31.
The Effect of Mask and Source Complexity on Source Mask Optimization
机译:
掩码和源复杂度对源掩码优化的影响
作者:
Seung-Hune Yang
;
Ningning Jia
;
SeongBo Shim
;
Dmitry Vengertsev
;
Youngchang Kim
;
Jungdal Choi
;
Ho-Kyu Kang
会议名称:
《Optical microlithography XXVI》
|
2013年
32.
Wafer topography modeling for ionic implantation mask correction dedicated to 2x nm FDSOI technologies.
机译:
用于2x nm FDSOI技术的离子注入掩模校正的晶圆形貌建模。
作者:
Jean-Christophe MICHEL
;
Jean-Christophe LE DENMAT
;
Elodie SUNGAUER
;
Frederic ROBERT
;
Emek YESILADA
;
Ana-Maria ARMEANU
;
Jorge ENTRADAS
;
John L. STURTEVANT
;
Thuy DO
;
Yuri GRANIK
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
wafer topography;
optical lithography;
ionic implantation;
underlying layer;
FDSOI;
33.
Comprehensive thermal aberration distortion control of lithographic lenses for accurate overlay
机译:
光刻镜头的全面热像差和畸变控制,可实现准确的覆盖
作者:
Yohei Fujishima
;
Satoshi Ishiyama
;
Susumu Isago
;
Akihiro Fukui
;
Hajime Yamamoto
;
Torn Hirayama
;
Tomoyuki Matsuyama
;
Yasuhiro Ohmura
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Projection optics;
Lithographic lens;
Multiple-patterning lithography;
Thermal aberration;
Aberration control;
Fluidic optical element;
34.
High Order Field-to-Field Corrections for Imaging and Overlay to Achieve Sub 20-nm Lithography Requirements
机译:
用于成像和覆盖的高阶场到场校正,可达到20 nm以下的光刻要求
作者:
Jan Mulkens
;
Michael Kubis
;
Paul Hinnen
;
Roelof de Graaf
;
Hans van der Laan
;
Alexander Padiy
;
Boris Menchtchikov
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
20-nm;
14-nm;
Immersion lithography;
CDU;
Overlay;
Focus;
diffraction based overlay;
holistic lithography;
35.
Mask Side wall clamping
机译:
面罩侧壁夹紧
作者:
Naaijkens
;
Rosielle
;
Steinbuch
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
mask;
clamping;
overlay;
throughput;
reticle;
36.
Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite
机译:
具有4倍间距划分和SMO-Lite的亚12纳米光学光刻
作者:
Michael C. Smayling
;
Koichiro Tsujita
;
Hidetami Yaegashi
;
Valery Axelrad
;
Tadashi Arai
;
Kenichi Oyama
;
Arisa Hara
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Low k_1;
highly regular layout;
gridded design rules;
pitch division;
spacer double patterning;
lines and cuts;
design source mask optimization (DSMO);
37.
Illumination pupilgram control using an Intelligent Illuminator
机译:
使用智能照明器的照明光瞳控制
作者:
Noriyuki Hirayanagi
;
Yasushi Mizuno
;
Masakazu Mori
;
Naonori Kita
;
Ryota Matsui
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Freeform source;
Source mask optimization (SMO);
Source optimization;
OPE matching;
38.
Inverse Lithography Technique for advanced CMOS nodes
机译:
用于高级CMOS节点的反光刻技术
作者:
Alexandre Villaret
;
Alexander Tritchkov
;
Jorge Entradas
;
Emek Yesilada
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Optical Lithography;
OPC;
RET;
Inverse Lithography;
Local Printability Enhancement;
39.
A Comparative Study of Self-Aligned Quadruple and Sextuple Patterning Techniques for Sub-15nm IC Scaling
机译:
15nm以下IC缩放的自对准四元组和六元组图案化技术的比较研究
作者:
Yijian Chen
;
Weiling Kang
;
Pan Zhang
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
self-aligned quadruple/sextuple patterning (SAQP/SASP);
layout decomposition/syntheses;
assisting mandrels;
overlay error;
probability of failure (POF);
40.
Grayscale Lithography - 3D Structuring and Thickness Control
机译:
灰度光刻-3D结构和厚度控制
作者:
Marcel Heller
;
Dieter Kaiser
;
Maik Stegemann
;
Georg Holfeld
;
Nicolo Morgana
;
Jens Schneider
;
Daniel Sarlette
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
grayscale lithography;
3D pattern transfer;
film thickness uniformity;
41.
Model Based Stitching and Inter-Mask Bridge Prevention for Double Patterning Lithography
机译:
基于模型的双图案光刻拼接与面罩跨桥防护
作者:
Guillaume Landie
;
Jean-Noel Pena
;
Sergey Postnikov
;
James Word
;
Shumay Shang
;
Fahd Chaoui
;
Emek Yesilada
;
Catherine Martinelli
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
double patterning lithography;
LELE;
OPC;
model based stitching;
inter mask bridging;
overlay error;
42.
Extending immersion lithography down to 1x nm production nodes
机译:
将浸没式光刻技术扩展到1x nm生产节点
作者:
Wim de Boeij
;
Remi Pieternella
;
Igor Bouchoms
;
Martijn Leenders
;
Marjan Hoofman
;
Roelof de Graaf
;
Haico Kok
;
Par Broman
;
Joost Smits
;
Jan-Jaap Kuit
;
Matthew McLaren
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Immersion lithography;
exposure systems;
ArF;
overlay;
imaging;
focus;
43.
A Customized Exicor System for Measuring Residual Birefringence in Lithographic Lenses
机译:
量身定制的Exicor系统,用于测量光刻镜片的残留双折射
作者:
Andy Breninger
;
Baoliang (Bob) Wang
会议名称:
《Optical microlithography XXVI》
|
2013年
44.
The impact of 14-nm photomask uncertainties on computational lithography solutions
机译:
14 nm光掩模不确定性对计算光刻解决方案的影响
作者:
John Sturtevant
;
Edita Tejnil
;
Tim Lin
;
Steffen Schulze
;
Peter Buck
;
Franklin Kalk
;
Kent Nakagawa
;
Guoxiang Ning
;
Paul Ackmann
;
Fritz Gans
;
Christian Buergel
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Simulation;
OPC;
3DEMF;
photomask;
45.
3D Resist Profile Full Chip Verification and Hot Spot Disposition
机译:
3D Resist Profile全芯片验证和热点配置
作者:
Qing Yang
;
ShyueFong Quek
;
YeeMei Foong
;
Jens Hassmann
;
DongQing Zhang
;
Andre Leschok
;
Tang Yun
;
Mu Feng
;
Stanislas Baron
;
JianHong Qiu
;
Taksh Pandey
;
Bo Yan
;
Russell Dover
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
3D resist modeling;
resist top loss;
etch failure;
46.
Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node
机译:
计算光刻掩模的可制造性:20nm以下节点的电流限制和要求
作者:
Jin Choi
;
In-Yong Kang
;
Ji Soong Park
;
In Kyun Shin
;
Chan-Uk Jeon
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
photomask;
lithography;
ILT;
model based fracturing;
47.
Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography
机译:
学生波前操纵以补偿光学纳米光刻中的掩模形貌效应
作者:
Monica Kempsell Sears
;
Bruce W. Smith
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
attenuated phase shift mask (AttPSM);
best focus (BF);
useable depth of focus (UDOF);
spherical aberration;
astigmatism;
analytical modeling;
48.
Flare management for 40-nm logic devices
机译:
40纳米逻辑器件的光斑管理
作者:
Yuusuke Tanaka
;
Takao Tamura
;
Masashi Fujimoto
;
Kyoichi Tsubata
;
Naka Onoda
;
Kiyoshi Fujii
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
flare;
density;
PSF;
immersion;
dose;
control;
40 nm;
active;
49.
Application of artificial neural networks to compact mask models in optical lithography simulation
机译:
人工神经网络在光学光刻模拟中的紧凑型掩模模型中的应用
作者:
Viviana Agudelo
;
Tim Fuehner
;
Andreas Erdmann
;
Peter Evanschitzky
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Compact mask models;
EMF modeling;
Artificial Neural Network;
extended scalar mask model;
spectrum comparison;
50.
An investigation into Scalability and Compliance for Triple Patterning with Stitches for Metal 1 at the 14nm node
机译:
在14nm节点上对金属1的针迹进行三重图案化的可扩展性和合规性研究
作者:
Christopher Cork
;
Alexander Miloslavsky
;
Paul Friedberg
;
Gerry Luk-Pat
会议名称:
《Optical microlithography XXVI》
|
2013年
51.
Effective model-based SRAF placement for full chip 2D layouts
机译:
有效的基于模型的SRAF布局,可实现全芯片2D布局
作者:
Srividya Jayaram
;
Pat LaCour
;
James Word
;
Alexander Tritchkov
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SRAF;
rule based;
model-based;
process window;
ILT;
Local Printability Enhancement;
weak spot correction;
52.
Wafer Sub-Layer Impact In OPC/ORC Models For 2x nm Node Implant Layers
机译:
OPC / ORC模型中2x nm节点植入层的晶圆子层影响
作者:
Jean-Christophe Le-Denmat
;
Catherine Martinelli
;
Elodie Sungauer
;
Jean-Christophe Michel
;
Emek Yesilada
;
Frederic Robert
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
stack effect;
wafer topography;
model;
lithography;
mask;
implant;
53.
3D Resist Profile Modeling for OPC Applications
机译:
用于OPC应用的3D抵抗轮廓建模
作者:
Yongfa Fan
;
Kar Kit Koh
;
Qing Yang
;
Wolfgang Hoppe
;
Bernd Kuechler
;
Puvan Perampalam
;
Makoto Miyagi
;
Lena Zavyalova
;
Thomas Schmoeller
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
OPC;
verification;
modeling;
3D profile;
etching;
54.
Inverse Lithography Technique for advanced CMOS nodes
机译:
用于高级CMOS节点的反光刻技术
作者:
Alexandre Villaret
;
Alexander Tritchkov
;
Jorge Entradas
;
Emek Yesilada
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Optical Lithography;
OPC;
RET;
Inverse Lithography;
Local Printability Enhancement;
55.
A STUDY OF VERTICAL LITHOGRAPHY FOR HIGH-DENSITY 3D STRUCTURES
机译:
高密度3D结构的垂直光刻技术研究
作者:
Masaki MIZUTANI
;
Shin-Ichiro HIRAI
;
Ichiro KOIZUMI
;
Ken-Ichiro MORI
;
Seiya MIURA
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Chip stacking technology;
TSV;
3D integration;
2.5D integration;
i-line lithography tool;
stepper;
56.
Source Mask Optimization Using Real-Coded Genetic Algorithms
机译:
使用实编码遗传算法的源掩模优化
作者:
Chaoxing Yang
;
Xiangzhao Wang
;
Sikun Li
;
Andreas Erdmann
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
source mask optimization;
real-coded genetic algorithm;
convergence property;
t-test;
57.
Design and simulation of illuminator with micro scanning slit array for NA 0.75 lithography system
机译:
NA 0.75光刻系统微扫描狭缝阵列照明器的设计与仿真
作者:
Linglin Zhu
;
Aijun Zeng
;
Shanhua Zhang
;
Ruifang Fang
;
Huijie Huang
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Lithography;
illuminator;
micro scanning slit array;
micro intergrator rod array;
micro lens array;
58.
Zero expansion glass ceramic ZERODUR~® roadmap for advanced lithography
机译:
零膨胀玻璃陶瓷ZERODUR〜®用于高级光刻的路线图
作者:
Thomas Westerhoff
;
Ralf Jedamzik
;
Peter Hartmann
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
ZERODUR~®;
Roadmap;
model of structural relaxation;
coefficient of thermal expansion;
coefficient of thermal expansion homogeneity;
microlithography;
bending strength;
life time calculation;
59.
Model of freeform illumination mode and polarization mode for 193nm immersion lithographic machine
机译:
193nm浸没式光刻机的自由形式照明模式和偏振模式模型
作者:
Yunbo Zhang
;
Aijun Zeng
;
Ying Wang
;
Mingxing Chen
;
Huijie Huang
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
193nm immersion lithography;
freeform illumination mode;
freeform polarization mode;
micromirror array;
variable retarder array;
60.
A Study on the Automation of Scanner Matching
机译:
扫描仪匹配自动化研究
作者:
Yuan He
;
Alexander Serebryakov
;
Scott Light
;
Vivek Jain
;
Erik Byers
;
Ronald Goossens
;
Zhi-Yuan Niu
;
Peter Engblom
;
Scott Larson
;
Bernd Geh
;
Craig Hickman
;
Hoyoung Kang
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Scanner matching;
optical pattern matching;
source optimization;
61.
Power up: 120 Watt injection-locked ArF excimer laser required for both multi-patterning and 450 mm wafer lithography
机译:
上电:多图案和450 mm晶片光刻均需要120瓦特注入锁定ArF准分子激光器
作者:
Takeshi Asayama
;
Youichi Sasaki
;
Takayuki Nagashima
;
Akihiko Kurosu
;
Hiroaki Tsushima
;
Takahito Kumazaki
;
Kouji Kakizaki
;
Takashi Matsunaga
;
Hakaru Mizoguchi
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
32nm node;
450mm wafer;
ArF excimer laser;
Injection Lock;
Line narrow;
193nm lithography;
Immersion;
Spectral bandwidth;
High power;
Double-patterning;
Multi-patterning;
Ecology;
62.
High Power 120W ArF immersion XLR laser system for high dose applications
机译:
高功率120W ArF浸没XLR激光系统,适用于高剂量应用
作者:
R. Rokitski
;
R. Rafac
;
J. Melchior
;
R. Dubi
;
J. Thornes
;
T. Cacouris
;
M. Haviland
;
D. Brown
会议名称:
《Optical microlithography XXVI》
|
2013年
63.
Adjustment of image decomposition mode and reflection criterion focusing on critical dimension uniformity and exposure dose effectiveness under diffraction effects in optical microlithography using a digital micromirror device
机译:
使用数字微镜设备在光学微光刻中的衍射效应下,重点关注临界尺寸均匀性和曝光剂量有效性的图像分解模式和反射标准的调整
作者:
Manseung Seo
;
Haeryung Kim
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
digital micromirror device;
proxy delta lithography;
honeycomb decomposition;
weighted reflection criterion;
64.
Mix-and-match overlay performance of the NSR-S622D immersion scanner
机译:
NSR-S622D沉浸式扫描仪的混搭覆盖性能
作者:
Katsushi Makino
;
Takahisa Kikuchi
;
Satoru Sasamoto
;
Park Hongki
;
Akiko Mori
;
Nobuyuki Takahashi
;
Shinji Wakamoto
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Mix-and-Match;
overlay;
MMO;
Immersion lithography;
SMO;
Overlay Master (OM);
Distortion;
Grid;
Super Distortion Matching (SDM);
ML-GCM;
Reticle expansion correction;
65.
On the accuracy of different Fourier transforms of VLSI designs
机译:
关于VLSI设计的不同傅里叶变换的准确性
作者:
Rajai Nasser
;
Paul Hurley
会议名称:
《Optical microlithography XXVI》
|
2013年
66.
Benchmarking study of 3D mask modeling for 2X and 1X nodes
机译:
2X和1X节点的3D蒙版建模的基准研究
作者:
ChangAn Wang
;
Chao-Chun Liang
;
Huikan Liu
;
Chidam Kallingal
;
Derren Dunn
;
James Oberschmidt
;
Jaione Tirapu Azpiroz
会议名称:
《Optical microlithography XXVI》
|
2013年
67.
Computational Aspects of Optical Lithography Extension by Directed Self-Assembly
机译:
通过定向自组装扩展光学光刻的计算方面
作者:
Kafai Lai
;
Chi-chun Liu
;
Jed Pitera
;
Dan J. Dechene
;
Anthony Schepis
;
Jassem Abdallah
;
Hsinyu Tsai
;
Mike Guillorn
;
Joy Cheng
;
Gregory Doerk
;
Melia Tjio
;
Charles Rettner
;
Olalekan Odesanya
;
Melih Ozlem
;
Neal Lafferty
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Optical Lithography;
Computational Lithography;
Directed Self Assembly;
Optical Proximity Correction;
Optical extension;
Mask Decomposition;
DSA model;
compact model;
Source Mask Optimization;
Lithography Optimization;
68.
Impact of Process Decisions and Alignment Strategy on Overlay for the 14nm Node
机译:
工艺决策和对准策略对14nm节点覆盖的影响
作者:
David Laidler
;
Koen Dhave
;
Philippe Leray
;
Jan Hermans
;
Juergen Boemmels
;
Shaunee Cheng
;
Huixiong Dai
;
Yongmei Chen
;
Bencherki Mebarki
;
Chris Ngai
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Overlay;
Alignment;
SADP;
Double Patterning;
193i;
69.
Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing
机译:
集成的散射测量技术可实现紧密的覆盖和CD控制,以实现20 nm节点晶圆制造
作者:
Jos Benschop
;
Andre Engelen
;
Hugo Cramer
;
Michael Kubis
;
Paul Hinnen
;
Hans van der Laan
;
Kaustuve Bhattacharyya
;
Jan Mulkens
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
lithography;
metrology;
integrated;
control;
70.
High Speed and Flexible PEB 3D Diffusion Simulation based on Sylvester Equation
机译:
基于Sylvester方程的高速灵活PEB 3D扩散模拟
作者:
Pei-Chun Lin
;
Charlie Chung-Ping Chen
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Post exposure bake(PEB);
Diffusion equation;
Sylvester Equation;
Resist Image Simulation;
71.
Robust SMO methodology for exposure tool and mask variations in high volume production
机译:
批量生产中曝光工具和掩模变化的可靠SMO方法
作者:
Takaki Hashimoto
;
Yasunobu Kai
;
Kazuyuki Masukawa
;
Shigeki Nojima
;
Toshiya Kotani
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
lithography;
source mask optimization;
exposure tool variations;
computational lithography;
robust SMO;
72.
Enabling Reverse Tone Imaging for Via Levels Using Attenuated Phase Shift Mask and Source Optimization
机译:
使用衰减相移掩膜和信号源优化为通孔电平启用反色调成像
作者:
Bassem Hamieh
;
Hyun Choi Choi
;
Burcin Erenturk
;
Wei Guo
;
Ayman Hamouda
;
Huikan Liu
;
Gregory Mclntyre
;
Jason Meiring
;
David Moreau
;
Alan Thomas
;
Alexander Wei
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
SMO;
Attenuated Phase Shift Mask;
Reverse Tone Imaging;
Annular;
EMF;
73.
Lithographic challenges and their solutions for critical layers in sub-14nm node logic devices
机译:
14纳米以下节点逻辑器件中关键层的光刻挑战及其解决方案
作者:
Tsann-Bim Chiou
;
Mircea Dusa
;
Alek C. Chen
;
David Pietromonaco
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
double patterning technology;
triple patterning technology;
design rule optimization;
immersion;
extreme ultraviolet lithography;
critical dimension uniformity;
overlay;
overlapping area;
74.
Topographic Mask Modeling with Reduced Basis Finite Element Method
机译:
减少基础有限元法的地形遮罩建模
作者:
Jacek. K. Tyminski
;
Jan Pomplun
;
Lin Zschiedrich
;
Donis Flagello
;
Tomoyuki Matsuyama
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Computational Lithography;
Optical Proximity Effects;
Topographic Mask Models;
75.
High-productivity immersion scanner enabling 1xnm hp manufacturing
机译:
高生产率浸没式扫描仪,可实现1xnm hp的制造
作者:
Yosuke Shirata
;
Yuichi Shibazaki
;
Junichi Kosugi
;
Takahisa Kikuchi
;
Yasuhiro Ohmura
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Immersion lithography;
overlay;
mix-and-mach overlay (MMO);
Single Machine Overlay (SMO);
focus accuracy;
CD uniformity;
Intelligent Illuminator (IIU);
Reticle Auto Focus (R-AF);
Reticle Bending;
Grating Plate (GP);
76.
Lens heating impact analysis and controls for critical device layers by computational method
机译:
镜片热影响分析及关键器件层的控制方法
作者:
Du Hvun Beak
;
Jin Phil Choi
;
Tony Park
;
Young Sun Nam
;
Young Seog Kang
;
Chan Hoon Park
;
Ki-Yeop(Chris) Park
;
Chang-Hoon Ryu
;
Wenjin Huang
;
Ki-Ho Baik
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
lens heating;
ASCAL;
LSC;
M3D;
77.
Line edge roughness (LER) mitigation studies specific to interference-like lithography
机译:
专门针对类干扰光刻的线边缘粗糙度(LER)缓解研究
作者:
Burak Baylav
;
Andrew Estroff
;
Peng Xie
;
Bruce W. Smith
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
LER;
edge roughness;
interference lithography;
IL;
LER mitigation;
mask roughness;
pupil filtering;
78.
Optomechanical characterization of large wafer stepper-optics with respect to centering errors, lens distances, and center thicknesses
机译:
关于对中误差,透镜距离和中心厚度的大晶圆步进光学的光机械表征
作者:
Daniel Stickler
;
Patrik Langehanenberg
;
Bernd Lueerss
;
Josef Heinisch
会议名称:
《Optical microlithography XXVI》
|
2013年
关键词:
Centering Error;
Centration Error;
Air Gap Distance;
Autocollimator;
Low Coherence Interferometer;
Optical Alignment;
Lens Center Thickness;
意见反馈
回到顶部
回到首页