掌桥科研
一站式科研服务平台
学术工具
文档翻译
论文查重
文档转换
收录引用
科技查新
期刊封面封底
自科基金
外文数据库(机构版)
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电工技术
>
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
中国高等学校学术文摘·机械工程
热力发电
四川电力技术
变压器
电气(英文版)
上海大中型电机
电源学报
电气工程应用
中国核电
华中电力
更多>>
相关外文期刊
Hitachi Review
IEEE Engineering Managemant Review
Journal of electrical and computer engineering
Signal processing
IEE Review
The mediterranean journal of electronics and communications
Communications, IET
IEEE industrial electronics magazine
Journal of Computational Electronics
Electrical contractor
更多>>
相关中文会议
2016(第七届)电力行业化学专业技术交流会
第12届全国电站焊接学术论文讨论会
2014年全国电力行业两化融合推介会暨全国电力企业信息化大会
中国电工技术学会“高压电机主绝缘材料与结构创新”专题研讨会
2010年全国输变电设备状态检修技术交流研讨会
2012年中国核能可持续发展论坛
2015智能配电系统和分布式能源研讨会
首届全国电子元器件应用技术研讨会
京津冀晋蒙鲁电机工程(电力)学会第十四届学术交流会
第一届新型太阳能电池暨钙钛矿太阳能电池学术研讨会
更多>>
相关外文会议
International Symposium on Power Sources for the New Millennium, Oct 22-27, 2000, Phoenix, Arizona
2013 4th IEEE/PES Innovative Smart Grid Technologies Europe (ISGT EUROPE),
Guangzhou Fuel Cell Conference; 20060620-23; Guangzhou(CN)
Compumag Conference on the Computation of Electromagnetic Fields vol.4; 20050626-30; Shenyang(CN)
Applied electromagnetics and computational technology II
Batteries for portable applications and electric vehicles
Micro electro mechanical systems : An investigation of micro structures, sensors, actuators, machines and systems
Conference on the Computation of Magnetic Fields(COMPUMAG 2003) vol.3; 20030713-17; Saratoga Springs,NY(US)
International Symposium on Advanced Topics in Electrical Engineering(ATEE 2004); 20041125-26; Bucharest(RO)
2nd International Conference on Silting Problems in Hydropower Plants Sep 26-28, 2001 Bangkok, Thailand
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
A look inside IBM's green data center research
机译:
深入了解IBM绿色数据中心研究
作者:
John B. Carter
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
data centers;
power management;
special session;
2.
Frequency and yield optimization using power gates in power-constrained designs
机译:
在功率受限的设计中使用功率门进行频率和成品率优化
作者:
Nam Sung Kim
;
Jun Seomun
;
Abhishek Sinkar
;
Jungseob Lee
;
Tae Hee Han
;
Ken Choi
;
Youngsoo Shin
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
frequency;
optimization;
power gate;
yield;
3.
Thinking outside the box
机译:
外箱思考
作者:
Thomas F. Wenisch
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
data center;
idle power;
powernap;
servers;
4.
A low power high noise immunity boost DC-DC converter using the differential difference amplifiers
机译:
使用差分差动放大器的低功耗,高抗扰性升压DC-DC转换器
作者:
Jiwei Fan
;
Xin Zhou
;
Liyu Yang
;
Alex Huang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
boost converter;
differential difference amplifier;
duty ripple voltage;
noise immunity;
5.
A CMOS low power current-mode polyphase filter
机译:
CMOS低功耗电流模式多相滤波器
作者:
Hussain Alzaher
;
Noman Tasadduq
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
CMOS analog integrated circuits;
low power current mode circuit;
polyphase filter;
6.
Improvement of power efficiency in switched capacitor DC-DC converter by shoot-through current elimination
机译:
通过消除直通电流提高开关电容器DC-DC转换器的电源效率
作者:
P.V. Ratna Kumar
;
Kaushik Bhattacharyya
;
Tamal Das
;
Pradip Mandal
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
shoot-through current;
switched capacitor converter;
time interleaving;
7.
An energy-efficient checkpointing mechanism for out of order commit processor
机译:
乱序提交处理器的节能检查点机制
作者:
Hui Zeng
;
Matt T. Yourst
;
Kanad Ghose
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
checkpoint;
microprocessor;
8.
Analyzing potential power reduction with adaptive voltage positioning optimized for multicore processors
机译:
通过针对多核处理器优化的自适应电压定位分析潜在的功耗降低
作者:
Abhishek Sinkar
;
Nam Sung Kim
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
adaptive voltage positioning;
multicore processor;
9.
PPT
机译:
PPT
作者:
Chung-Hsiang Lin
;
Chia-Lin Yang
;
Ku-Jei King
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DRAM memory;
page allocation;
power;
scheduling;
temperature;
10.
Predict and act
机译:
预测并采取行动
作者:
Raid Zuhair Ayoub
;
Tajana Simunic Rosing
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
characterization;
temperature prediction;
thermal management;
11.
Low power circuit design based on heterojunction tunneling transistors (HETTs)
机译:
基于异质结隧穿晶体管(HETT)的低功耗电路设计
作者:
Daeyeon Kim
;
Yoonmyung Lee
;
Jin Cai
;
Isaac Lauer
;
Leland Chang
;
Steven J. Koester
;
Dennis Sylvester
;
David Blaauw
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
SRAM design;
low power applications;
tunneling transistor;
12.
A low power 3D integrated FFT engine using hypercube memory division
机译:
使用超立方体内存划分的低功耗3D集成FFT引擎
作者:
Thorlindur Thorolfsson
;
Nariman Moezzi-Madani
;
Paul D. Franzon
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
3DIC;
FFT;
scaling;
13.
Transaction-based adaptive dynamic voltage scaling for interactive applications
机译:
基于交互的基于事务的自适应动态电压缩放
作者:
Xia Zhao
;
Yao Guo
;
Xiangqun Chen
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
dynamic voltage and frequency scaling;
interaction;
scheduling;
14.
vGreen
机译:
绿色
作者:
Gaurav Dhiman
;
Giacomo Marchetti
;
Tajana Rosing
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
energy;
migration;
virtualization;
workload characterization;
15.
Near optimal battery-aware energy management
机译:
接近最佳的电池感知能源管理
作者:
Sushu Zhang
;
Karam S. Chatha
;
Goran Konjevod
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
dynamic power management;
dynamic voltage/frequency scaling;
low power design;
16.
Non volatile memories to enable system power scaling
机译:
非易失性存储器可实现系统功率扩展
作者:
Al Fazio
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
low-power memory;
17.
Low voltage tunnel transistor architecture and its viability for energy efficient logic applications
机译:
低压隧道晶体管架构及其在高能效逻辑应用中的可行性
作者:
Suman Datta
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
design;
transistor;
tunnel;
18.
An optimization strategy for low energy and high performance for the on-chip interconnect signalling
机译:
片上互连信令的低能耗和高性能优化策略
作者:
Ge Chen
;
Saeid Nooshabadi
;
Steven Duvall
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
interconnect signaling;
low energy;
19.
Power-management-based Chien search for low power BCH decoder
机译:
低功耗BCH解码器基于功率管理的Chien搜索
作者:
Shu-Yi Wong
;
Chunhong Chen
;
Q.M. Jonathan Wu
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
BCH decoder;
Chien search;
low power;
power management;
20.
Low power robust signal processing
机译:
低功耗鲁棒信号处理
作者:
Veera Papirla
;
Aarul Jain
;
Chaitali Chakrabarti
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
algorithmic noise tolerance;
redundant binary arithmetic;
soft DSP;
21.
Software-defined SIMO step-up/down power converter with adaptive global/local power allocation scheme for DVS-enabled multicore systems
机译:
具有自适应全球/本地电源分配方案的软件定义的SIMO升/降压电源转换器,用于支持DVS的多核系统
作者:
Feng Luo
;
Rajdeep Bondade
;
Dongsheng Ma
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
adaptive power allocation control scheme;
dynamic voltage scaling (dvs);
multicore systems;
single-inductor multiple-output (SIMO) converter;
switching converter;
22.
A novel 0.5 V 15 μW 1.3 MHz temperature-compensated analog PWM-controller for switch-mode converters
机译:
用于开关模式转换器的新型0.5 V 15μW1.3 MHz温度补偿模拟PWM控制器
作者:
Dominic Maurath
;
Charalambos Andreou
;
Yiannos Manoli
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
PWM modulator;
subthreshold operation;
voltage reference;
23.
N-version temperature-aware scheduling and binding
机译:
N版本温度感知调度和绑定
作者:
Yousra Alkabani
;
Farinaz Koushanfar
;
Miodrag Potkonjak
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
N-variants;
high-level synthesis;
temperature control;
24.
An energy-delay efficient 2-level data cache architecture for embedded system
机译:
嵌入式系统的节能高效的2级数据缓存体系结构
作者:
Jongmin Lee
;
Soontae Kim
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
2-level data cache;
early cache hit predictor;
one-way write;
25.
Experimental analysis of sequence dependence on energy saving for error tolerant image processing
机译:
序列依赖节能技术的容错图像处理实验分析
作者:
Se Hun Kim
;
Saibal Mukohopadhyay
;
Wayne Wolf
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DCT;
low power;
voltage over-scaling;
26.
A programmable implementation of neural signal processing on a smartdust for brain-computer interfaces
机译:
用于脑机接口的智能灰尘上神经信号处理的可编程实现
作者:
Yuwen Sun
;
Shimeng Huang
;
Joseph Oresko
;
John Krais
;
Allen C. Cheng
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DVFS;
brain-computer interface;
brain-implantable computing;
smartdust;
tinyOS;
27.
It is all about power analysis, exploration and trade-offs
机译:
这完全与功率分析,探索和权衡有关
作者:
Soheil Modirzadeh
;
Brian Fuller
;
Sandeep Mirchandani
;
Jon McDonald
;
Ran Avinun
;
Camille Kokozaki
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
IDT;
NXP;
broadcom;
cadence;
low power;
low power design;
system design and verification;
technical panel;
28.
Challenges and opportunities in low-power design enablement
机译:
低功耗设计实现中的挑战和机遇
作者:
Mojy Chian
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
keynote;
29.
Dealing with disaggregation in ever-changing world of semiconductors
机译:
在瞬息万变的半导体世界中应对分类
作者:
Yankin Tanurhan
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
cooling;
data center design;
energy efficient design;
memory systems;
processor management;
storage;
virtualization;
30.
A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management
机译:
具有工作负载感知动态电源管理功能的60fps 496mW多对象识别处理器
作者:
Joo-Young Kim
;
Seungjin Lee
;
Jinwook Oh
;
Minsu Kim
;
Hoi-Jun Yoo
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
energy efficient object recognition;
multimedia processor;
workload-aware dynamic power management;
31.
The design of a bloom filter hardware accelerator for ultra low power systems
机译:
用于超低功耗系统的Bloom滤波器硬件加速器的设计
作者:
Michael J. Lyons
;
David Brooks
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
bloom filter;
hardware accelerator;
wireless sensor network;
32.
Adaptive RF chain management for energy-efficient spatial-multiplexing MIMO transmission
机译:
高能效空间复用MIMO传输的自适应RF链管理
作者:
Hang Yu
;
Lin Zhong
;
Ashutosh Sabharwal
会议名称:
《》
|
2009年
关键词:
MIMO;
RF chain management;
energy efficiency;
33.
Remote progressive firmware update for flash-based networked embedded systems
机译:
基于Flash的联网嵌入式系统的远程渐进式固件更新
作者:
Jinsik Kim
;
Pai H. Chou
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
clycomatic complexity;
progressive code update;
34.
Green transistors to green architectures
机译:
从绿色晶体管到绿色架构
作者:
Suman Datta
;
Vijaykrishnan Narayanan
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
design;
performance;
transistor;
35.
Low power fast and dense longest prefix match content addressable memory for IP routers
机译:
低功耗快速且密集的最长前缀匹配IP路由器的内容可寻址存储器
作者:
Satendra Kumar Maurya
;
Lawrence T. Clark
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
content addressable memory (CAM);
internet protocol (IP) routing;
longest prefix match;
ternary content addressable memory (TCAM);
36.
MicroFix
机译:
MicroFix
作者:
Guihai Yan
;
Yinhe Han
;
Hui Liu
;
Xiaoyao Liang
;
Xiaowei Li
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DVFS;
efficiency;
timing adaptability;
37.
End-to-end validation of architectural power models
机译:
架构电源模型的端到端验证
作者:
Madhu Saravana Sibi Govindan
;
Stephen W. Keckler
;
Doug Burger
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
architectural power models;
measurement;
validation;
38.
Ultra low voltage CMOS
机译:
超低压CMOS
作者:
Kaushik Roy
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
adaptive supply scaling;
nano-scale cmos system;
ultra low voltage design;
ultra-dynamic voltage scaling;
39.
Sustainable IT ecosystems and data centers
机译:
可持续的IT生态系统和数据中心
作者:
Cullen E. Bash
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
data centers;
energy efficiency;
sustainability;
40.
Way-tagged cache
机译:
标记方式的缓存
作者:
Jianwei Dai
;
Lei Wang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
cache;
low-power technique;
way-tag array;
41.
Advances in process technology amp; IBM collaborative ecosystem for leadership power performance SOC designs
机译:
用于领导力性能SOC设计的工艺技术和IBM协作生态系统的进步
作者:
Percy V. Gilbert
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
keynote;
42.
Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic
机译:
纳米MOSFET对45nm亚阈值逻辑的最小能量点的影响
作者:
David Bol
;
Dina Kamel
;
Denis Flandre
;
Jean-Didier Legat
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
cmos digital integrated circuits;
gate leakage;
short-channel effects;
subthreshold logic;
ultra-low power;
variability;
43.
Slew-aware clock tree design for reliable subthreshold circuits
机译:
斜摆感知时钟树设计可实现可靠的亚阈值电路
作者:
Jeremy R. Tolbert
;
Xin Zhao
;
Sung Kyu Lim
;
Saibal Mukhopadhyay
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
clocks;
slew;
subthreshold;
44.
Serial sub-threshold circuits for ultra-low-power systems
机译:
超低功耗系统的串行亚阈值电路
作者:
Sudhanshu Khanna
;
Benton H. Calhoun
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
bit width;
leakage;
serial systems;
sub-threshold;
ultra low power;
45.
Hybrid logical-statistical simulation with thermal and IR-drop mapping for degradation and variation prediction
机译:
带有热和红外滴映射的混合逻辑统计仿真,用于退化和变化预测
作者:
Domenik Helms
;
Kai Hylla
;
Wolfgang Nebel
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
IR-drop;
electro-thermal coupling;
reliability;
system level estimation;
thermal modelling;
46.
Variation-aware supply voltage assignment for minimizing circuit degradation and leakage
机译:
可感知变化的电源电压分配,可最大程度地减少电路退化和泄漏
作者:
Xiaoming Chen
;
Yu Wang
;
Yu Cao
;
Yuchun Ma
;
Huazhong Yang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
dual vdd;
dynamic vdd scaling;
leakage power;
negative bias temperature instability (NBTI);
47.
Tuning-friendly body bias clustering for compensating random variability in subthreshold circuits
机译:
调节友好的身体偏差聚类,用于补偿亚阈值电路中的随机变化
作者:
Koichi Hamamoto
;
Masanori Hashimoto
;
Yukio Mitsuyama
;
Takao Onoye
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
body bias clustering;
layout;
manufacturing variability;
performance compensation;
subthreshold circuits;
48.
Statistical static timing analysis considering leakage variability in power gated designs
机译:
考虑功率门控设计中泄漏变化的静态静态时序分析
作者:
Michael J. Anderson
;
Azadeh Davoodi
;
Jungseob Lee
;
Abhishek Sinkar
;
Nam Sung Kim
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
leakage;
power gating;
process variations;
ssta;
49.
A 2.6 μW sub-threshold mixed-signal ECG SoC
机译:
2.6μW亚阈值混合信号ECG SoC
作者:
Steven C. Jocke
;
Jonathan F. Bolus
;
Stuart N. Wooters
;
Travis N. Blalock
;
Benton H. Calhoun
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
electrocardiogram;
sub-threshold SoC;
sub-threshold operation;
system on chip;
50.
Dynamic thermal management using thin-film thermoelectric cooling
机译:
使用薄膜热电冷却进行动态热管理
作者:
Pedro Chaparro
;
Jose Gonzalez
;
Qiong Cai
;
Greg Chrysler
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
dynamic thermal management;
low-power;
microarchitecture;
thermal control;
thin-film thermoelectric cooling;
51.
Circuit design in nano-scale CMOS era
机译:
纳米CMOS时代的电路设计
作者:
Kevin Zhang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
CMOS;
VLSI;
circuit;
52.
Way guard
机译:
路卫
作者:
Mrinmoy Ghosh
;
Emre Ozer
;
Simon Ford
;
Stuart Biles
;
Hsien-Hsin S. Lee
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
bloom filter;
low power;
53.
Energy-efficient renaming with register versioning
机译:
具有寄存器版本控制的节能重命名
作者:
Hui Zeng
;
Ju-Young Jung
;
Kanad Ghose
;
Dmitry Ponomarev
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
microprocessor;
register renaming;
54.
Cooperative shared resource access control for low-power chip multiprocessors
机译:
低功耗芯片多处理器的协作共享资源访问控制
作者:
Noriko Takagi
;
Hiroshi Sasaki
;
Masaaki Kondo
;
Hiroshi Nakamura
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
cache partitioning;
chip multiprocessors;
dvfs;
low power;
resource conflict;
55.
Design and analysis of ultra-thin-body SOI based subthreshold SRAM
机译:
基于超薄SOI的亚阈值SRAM的设计与分析
作者:
Vita Pi-Ho Hu
;
Yu-Sheng Wu
;
Ming-Long Fan
;
Pin Su
;
Ching-Te Chuang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
poisson's equation;
soi;
static noise margin;
subthreshold SRAM;
ultra-thin-body;
56.
Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits
机译:
时序受限的45nm亚阈值电路的技术风味选择和自适应技术
作者:
David Bol
;
Denis Flandre
;
Jean-Didier Legat
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
CMOS digital integrated circuits;
adaptive circuits;
subthreshold logic;
ultra-low power;
variability;
57.
A centralized supply voltage and local body bias-based compensation approach to mitigate within-die process variation
机译:
集中式电源电压和基于局部偏置的补偿方法,可减轻晶粒内工艺变化
作者:
Amlan Ghosh
;
Rahul M. Rao
;
Richard B. Brown
会议名称:
《》
|
2009年
关键词:
fine-grain body-biasing;
process variation compensation;
slewrate;
58.
A 0.9V, 65nm logic-compatible embedded DRAM with 1ms data retention time and 53 less static power than a power-gated SRAM
机译:
一个0.9V,65nm逻辑兼容的嵌入式DRAM,具有超过1ms的数据保留时间,比电源门控SRAM少53%的静态功耗
作者:
Ki Chul Chun
;
Pulkit Jain
;
Chris H. Kim
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
3T DRAM;
cache;
embedded DRAM;
gain cell;
retention time;
static power;
59.
NBTI-aware power gating for concurrent leakage and aging optimization
机译:
支持NBTI的电源门控,可同时进行泄漏和老化优化
作者:
Andrea Calimera
;
Enrico Macii
;
Massimo Poncino
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
aging;
leakage;
nbti;
power-gating;
60.
The opportunity cost of low power design
机译:
低功耗设计的机会成本
作者:
Matthew M. Ziegler
;
Victor V. Zyuban
;
George D. Gristede
;
Milena Vratonjic
;
Joshua Friedrich
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
circuit tuning;
low power design;
productivity;
61.
Behavior-level observability don't-cares and application to low-power behavioral synthesis
机译:
行为级可观察性无关紧要并将其应用于低功耗行为综合
作者:
Jason Cong
;
Bin Liu
;
Zhiru Zhang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
behavioral synthesis;
low power;
observability;
62.
Minimizing data center cooling and server power costs
机译:
最大限度地减少数据中心散热和服务器电源成本
作者:
Ehsan Pakbaznia
;
Massoud Pedram
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
datacenter;
63.
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
机译:
具有混合电源的单电感器双输入双输出DC-DC转换器,用于太阳能收集应用
作者:
Hui Shao
;
Chi-Ying Tsui
;
Wing-Hung Ki
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DC-DC converter;
MPPT;
PV cells;
dual-input-dual-output;
energy harvesting;
single inductor;
64.
Optimizing total power of many-core processors considering voltage scaling limit and process variations
机译:
考虑电压缩放限制和工艺变化,优化多核处理器的总功率
作者:
Jungseob Lee
;
Nam Sung Kim
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
many-core processor;
parallel applications;
process variations;
voltage and frequency scaling;
65.
Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection
机译:
使用测试时电压选择集成动态电压/频率缩放和自适应主体偏置
作者:
Alyssa Bonnoit
;
Sebastian Herbert
;
Diana Marculescu
;
Lawrence Pileggi
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
body biasing;
dynamic voltage / frequency scaling;
66.
Pulse width modulation for reduced peak power full-swing on-chip interconnect
机译:
脉冲宽度调制可降低峰值功率全摆幅片上互连
作者:
Mackenzie R. Scott
;
Rajeevan Amirtharajah
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
low power interconnect;
peak power;
pulse width modulation;
67.
Inductor design of 20-V boost converter for low power 3D solid state drive with NAND flash memories
机译:
用于具有NAND闪存的低功耗3D固态驱动器的20V升压转换器的电感器设计
作者:
Tadashi Yasufuku
;
Koichi Ishida
;
Shinji Miyamoto
;
Hiroto Nakai
;
Makoto Takamiya
;
Takayasu Sakurai
;
Ken Takeuchi
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
SSD;
boost converter;
charge pump;
inductor design;
68.
Online work maximization under a peak temperature constraint
机译:
峰值温度限制下的在线工作最大化
作者:
Thidapat Chantem
;
X. Sharon Hu
;
Robert P. Dick
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DVFS;
performance;
reliability;
temperature-constraint optimization;
69.
Significance driven computation
机译:
重要性驱动计算
作者:
Debabrata Mohapatra
;
Georgios Karakonstantis
;
Kaushik Roy
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
low power;
motion estimation;
significance driven computation;
variation aware;
voltage over-scaling;
70.
A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications
机译:
针对超低功耗应用的45nm CMOS 0.35v优化标准电池库
作者:
Fady Abouzeid
;
Sylvain Clerc
;
Fabian Firmin
;
Marc Renaudin
;
Gilles Sicard
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
bose choudhury hocquenghem;
circuit;
cmos;
design;
energy;
library;
logic;
low power;
methodology;
subthreshold;
ultra low voltage;
71.
Tracking the power in an enterprise decision support system
机译:
跟踪企业决策支持系统中的功能
作者:
Justin Meza
;
Mehul A. Shah
;
Parthasarathy Ranganathan
;
Mike Fitzner
;
Judson Veazey
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
decision support;
energy;
energy efficiency;
power;
tpc-h;
72.
Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era
机译:
0.5-v纳米级CMOS时代的漏电和易变性电路设计
作者:
Kiyoo Itoh
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
0.5-v nanoscale cmos lsis;
conventional mosfet;
dram;
finfet;
leakage;
minimum vdd;
speed variation;
sram;
vt variation;
73.
Data manipulation techniques to reduce phase change memory write energy
机译:
减少相变存储器写入能量的数据处理技术
作者:
Wei Xu
;
Jibang Liu
;
Tong Zhang
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
low power;
phase change memory;
74.
A high-performance low-power nanophotonic on-chip network
机译:
高性能低功耗纳米光子片上网络
作者:
Zheng Li
;
Jie Wu
;
Li Shang
;
Alan R. Mickelson
;
Manish Vachharajani
;
Dejan Filipovic
;
Wounjhang Park
;
Yihe Sun
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
networks-on-chip;
optical communication;
silicon photonics;
75.
Exploration of 3D stacked L2 cache design for high performance and efficient thermal control
机译:
探索用于高性能和高效热控制的3D堆叠L2缓存设计
作者:
Guangyu Sun
;
Xiaoxia Wu
;
Yuan Xie
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
3D;
L2 caches;
performance;
thermal control;
76.
Ranking servers based on energy savings for computation offloading
机译:
根据节能量对服务器进行排名,以减少计算量
作者:
Karthik Kumar
;
Yamini Nimmagadda
;
Yung-Hsiang Lu
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
computation offloading;
energy savings;
ranking servers;
77.
Enabling ultra low voltage system operation by tolerating on-chip cache failures
机译:
通过容忍片上高速缓存故障来实现超低压系统操作
作者:
Amin Ansari
;
Shuguang Feng
;
Shantanu Gupta
;
Scott Mahlke
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
dynamic voltage scaling;
fault-tolerant cache;
low voltage operation;
78.
Design of multi-mode 4-switch buck-boost controller
机译:
多模式四开关降压-升压控制器的设计
作者:
Lou Jiana
;
Wu Xiaobo
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
buck-boost controller;
seamless transition;
valley current mode;
79.
Electromigration study of power-gated grids
机译:
门控电网的电迁移研究
作者:
Aida Todri
;
Malgorzata Marek-Sadowska
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
electromigration;
power network;
80.
SOI, interconnect, package, and mainboard thermal characterization
机译:
SOI,互连,封装和主板热特性
作者:
Joseph Nayfach-Battilana
;
Jose Renau
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
SOI modeling;
interconnect modeling;
package modeling;
thermal modeling;
81.
Energy-aware instruction-set customization for real-time embedded multiprocessor systems
机译:
实时嵌入式多处理器系统的能源意识指令集定制
作者:
Seungrok Jung
;
Jungsoo Kim
;
Sangkwon Na
;
Chong-Min Kyung
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
DVFS;
configurable processors;
instruction set extensions;
82.
Power-saving color transformation of mobile graphical user interfaces on OLED-based displays
机译:
基于OLED的显示器上的移动图形用户界面的节能颜色转换
作者:
Mian Dong
;
Yung-Seok Kevin Choi
;
Lin Zhong
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
OLED display;
graphic user interface;
low power;
83.
An experimental validation of system level design space exploration methodology for energy efficient sensor nodes
机译:
节能传感器节点的系统级设计空间探索方法的实验验证
作者:
Sonali Chouhan
;
M. Balakrishnan
;
Ranjan Bose
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
computation-radio energy trade-off;
energy measurement;
error correcting codes;
low energy;
wireless sensor networks;
84.
Emerging technologies and their impact on system design
机译:
新兴技术及其对系统设计的影响
作者:
Norm Jouppi
;
Yuan Xie
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
3d integration;
emerging technology;
new non-volatile memory technology;
85.
Cross-over current suppressing latch compared to state-of-the-art for low-power low-frequency applications with resonant clocking
机译:
与现有技术相比,具有谐振时钟的低功耗低频应用的交叉电流抑制锁存器
作者:
Flavio Carbognani
;
Luca Henzen
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
adiabatic;
clock;
digital circuits;
flipflops;
low power design;
86.
Reducing the leakage and timing variability of 2D ICcs using 3D ICs
机译:
使用3D IC减少2D ICcs的泄漏和时序变化
作者:
Sherief Reda
;
Aung Si
;
R. Iris Bahar
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
3D integrated circuit;
leakage;
timing;
variability;
87.
Dynamic power gating with quality guarantees
机译:
具有质量保证的动态电源门控
作者:
Anita Lungu
;
Pradip Bose
;
Alper Buyuktosunoglu
;
Daniel J. Sorin
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
execution units;
low power;
microarchitecture;
power gating;
power management;
88.
Power management in energy harvesting embedded systems with discrete service levels
机译:
具有离散服务级别的能量收集嵌入式系统中的电源管理
作者:
Clemens Moser
;
Jian-Jia Chen
;
Lothar Thiele
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
embedded systems;
energy harvesting systems;
power management;
reward maximization;
solar cells;
89.
Energy efficient sampling for event detection in wireless sensor networks
机译:
用于无线传感器网络中事件检测的节能采样
作者:
Zainul Charbiwala
;
Younghun Kim
;
Sadaf Zahedi
;
Jonathan Friedman
;
Mani B. Srivastava
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
compressive sensing;
detection;
wireless sensor networks;
90.
Green at the micro-scale
机译:
微型绿色
作者:
Vijay Raghunathan
会议名称:
《Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design》
|
2009年
关键词:
energy harvesting embedded system;
micro-scale green computing;
self-powered system;
意见反馈
回到顶部
回到首页