掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
一般工业技术
>
International Conference on Planarization/CMP Technology
International Conference on Planarization/CMP Technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
材料工程
中国质量与品牌
声学与电子工程
内蒙古质量技术监督
四川大学学报(工程科学版)
湖南包装
质量技术监督研究
工程研究-跨学科视野中的工程
中国标准化
材料科学与工艺
更多>>
相关外文期刊
International Journal of Green Nanotechnology: Physics and Chemistry
Recent patents on materials science
Journal of Vacuum Science & Technology. B
Materialwissenschaft und Werkstofftechnik
Fatigue & Fracture of Engineering Materials & Structures
Emballages Magazine
Journal of Hazardous Materials
International journal of industrial and systems engineering
Tsinghua Science and Technology
Science and Technology of Advanced Materials
更多>>
相关中文会议
中国声学学会第十一届青年学术会议
第三届中国环境艺术设计国际学术研讨会
第十二届全国电冰箱(柜)、空调器及压缩机学术交流大会
2011清华-DMI国际设计管理大会
2008年新膜过程研究与应用研讨会
第九届全国低温工程大会
福建省科协第十一届学术年会计量分会场
中国颗粒学会第七届学术年会暨海峡两岸颗粒技术研讨会
第九届海峡两岸制冷空调技术交流会
2005年全国时间频率学术交流会
更多>>
相关外文会议
2008 MRS spring meeting symposium proceedings
International Symposium on Anisotropic Behaviour of Damaged Materials ABDM; Sep 9-11, 2002; Krakow-Przegorzaly, Poland
Yugoslav Materials Restarch Society Conference; 20060904-08; Herceg Novi(YU)
Tungsten, refractory & hardmaterials VIII
ASME international design engineering technical conferences and computers and information in engineering conference 2008
Models and methods in applied sciences
International Symposium on Corrosion and Corrosion Control in Saltwater Environments, Oct 18-19, 1999, Honolulu, Hawaii
Laser Physics and Photonics, Spectroscopy and Molecular Modeling VI
Fifth International Conference on Biaxial/Multiaxial Fatigue and Fracture held in Cracow.Poland,8-12 September 1997.
Second Pan-American Conference for Nondestructive Testing (PACNDT) and ASNT's International Chemical and Petroleum Industry Inspection Technology (ICPIIT) VII Topical Conference, Jun 18-22, 2001, Houston, Texas, USA
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
New Improvement for 200 mm legacy CMP tools for in-situ control of polish uniformity to enable production worthy thick Cu CMP
机译:
200 mm遗留CMP工具的新改进,用于原位控制波兰均匀性,以使生产价值厚厚的Cu CMP
作者:
Ayse Karagoz
;
Patrick Ong
;
Andrew Cockburn
;
Jamie Leighton
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
2.
Theoretical and experimental approach of swing arm conditioner for prediction of pad profile in CMP
机译:
摇摆扶手条件的理论与实验方法,用于预测CMP
作者:
Hyoungjae Kim
;
Hanchul Cho
;
Chuljin Park
;
Haedo Jeong
;
Sungho Shin
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
3.
A Quantitative Analysis of Ceria CMP Microscratch for IC Yield Correlation Learning
机译:
IC屈服相关学习Ceria CMP微颤动的定量分析
作者:
Tae Hoon Lee
;
Hong Jin Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
4.
Study of real time chamber monitoring for the contamination factor on the Post CMP Clean progress
机译:
基于CMP清理进展的污染因子实时室监测研究
作者:
Sangyoon Shin
;
Joonho Jang
;
Chulmin Shin
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
5.
Consumables compatibility for dielectric planarization on package substrate
机译:
封装衬底上的介电平坦化的消耗性兼容性
作者:
Seonho Jeong
;
Dasol Lee
;
Hyunjin Kim
;
Haedo Jeong
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
6.
CMP process development for radiation detector fabrication
机译:
辐射探测器制造的CMP工艺开发
作者:
Ina Schubert
;
Klaus-Dieter Preuss
;
Martin Feltz
;
Tobias Wittig
;
Knut Gottfried
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
7.
Chase of Nanometer Topography in CMP for 3D Integration
机译:
CMP纳米纳米地形追逐3D集成
作者:
Catherine Euvrard
;
Yorrick Exbrayat
;
Cedric Perrot
;
Aurelien Seignard
;
Sebastien Mermoz
;
Viorel Balan
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
8.
Modified Kinematic Model for Predicting Contact Points of Conditioner in CMP
机译:
修改了CMP中调节剂接触点的改进的运动模型
作者:
Jihye Choi
;
Eungcher Kim
;
Cheolmin Shin
;
Yinhua Jin
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Chemical mechanical planarization;
Pad cut rate;
Pad profile;
Conditioning process;
Kinematic analysis;
9.
Control of Silica Particle Deposition for Fabrication of Post CMP Cleaning Ability Evaluation Wafer
机译:
用于制造后CMP清洗能力评估晶片制备的二氧化硅颗粒沉积
作者:
Younsun Cho
;
Seung-Ki Chae
;
Cheolmin Shin
;
Yinhua Jin
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Post CMP Cleaning;
Standard wafer;
Cleaning evaluation;
Cleaning condition;
Abrasive particle;
10.
Evaluation of Polyurethane Pads Properties for Effective Use in Planarization Process
机译:
聚氨酯焊盘性能的评价,用于平面化过程中的有效应用
作者:
Hyunjae Chung
;
Cheolmin Shin
;
Yinhua Jin
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
11.
Cu Barrier Metal Slurry for Reducing Defect-Level and Enhancing Removal Performances
机译:
Cu阻挡金属浆料降低缺陷水平并增强去除性能
作者:
Seungchul Hong
;
Jinhyuk Lim
;
Hyungoo Kang
;
Gyuan Jin
;
Byoungsoo Kim
;
Seunghyun Lee
;
Youngho Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Planarization;
Copper barrier metal slurry;
Defect reduction;
Dishing;
12.
The Synthesis of PS-Si02 Raspberry Structure Nanopartide for CMP Slurry
机译:
CMP浆料的PS-SiO 2覆盆子结构纳米氨基的合成
作者:
Qin Hongyi
;
Kim Hojoong
;
Choi Hoomi
;
Kim Mingu
;
Kim Taesung
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
13.
Advances in CMP for TSV Reveal
机译:
CMP的进步为TSV揭示
作者:
Rhoades Robert L.
;
Malta Dean
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
14.
Study on Polishing Properties for Phase Change Memory
机译:
相变存储器抛光性能研究
作者:
Bae Jinwoo
;
Lee Wonjun
;
Park Seungho
;
Lee Jae-Dong
;
Hwang Inseak
;
Nam Seok-Woo
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
15.
Development of linear roll CMP system for large area micropatterns
机译:
大面积微图案的线性滚动CMP系统的开发
作者:
Kim Seongsoo
;
Kim Jiyoon
;
Lee Changsuk
;
Jeong Haedo
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
16.
A Novel Evaluation Method of Polishing Slurry Flow Using Digital Image Processing - Mechanical Polishing for Sapphire Using Diamond Slurry
机译:
一种新的抛光浆液流动评价方法,使用数码图像处理 - 使用金刚石浆料的蓝宝石机械抛光
作者:
Uneda Michio
;
Fukuta Yuya
;
Hotta Kazutoshi
;
Sugiyama Hiroyasu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
17.
In Situ Profile Control with Titan Edge?? Heads for Dielectric Planarization of Advanced CMOS Devices
机译:
与泰坦边缘的原位配置文件控制??高级CMOS设备的介电平坦化头
作者:
Dhandapani S.
;
Qian J.
;
Cherian B.
;
Menk G.
;
Garretson C.
;
Lee H.
;
Bennett D.
;
Osterheld T.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
18.
Development of Innovative Tunable Polishing Formulations for Chemical Mechanical Planarization of Silicon Nitride, Silicon Carbide, and Silicon Oxide
机译:
氮化硅,碳化硅和氧化硅化学机械平坦化的创新可调抛光配方的研制
作者:
Schlueter James
;
Stoeva Savka
;
Graham Maitland
;
Shi Tom
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
19.
The Study to Minimize the Variation of Polishing Time According to the Pad Used Time
机译:
该研究可根据使用时间最小化抛光时间的变化
作者:
Yang Ji Chul
;
Jang Won Moon
;
Won Jae-Hyung
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
20.
FullVision?? Endpoint for CMP of SiGe Fin Structures
机译:
fullvision ?? SiGe Fin结构CMP的端点
作者:
Menk G.
;
Dhandapani S.
;
Huang Y.-C.
;
Wood B.
;
Qian J.
;
Cherian B.
;
Garretson C.
;
Osterheld T.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
21.
Low Surface Roughness Epic?? D2xx soft pads for CMP Applications
机译:
低表面粗糙度史诗?用于CMP应用的D2XX软垫
作者:
Nair Jay
;
Lu Shaoning
;
Page Joseph
;
Bigoin Gilles
;
Sun Fred
;
Gaudet Greg
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
22.
Global Thickness Measurement System for Metal Layer on Wafer
机译:
晶圆上的金属层的全局厚度测量系统
作者:
Yu Qiang
;
Zhao Dewen
;
Li Hongkai
;
Qu Zilian
;
Qian Zhao -
;
Lu Xinchun
;
Meng Yonggang
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
23.
Cu Layer thickness monitoring in CMP process by using eddy current sensor
机译:
CU层厚度通过使用涡流传感器在CMP过程中监测
作者:
Qu Zilian
;
Zhao Qian
;
Yu Qiang
;
Zhao Dewen
;
Li Hongkai
;
Lu Xinchun
;
Meng Yonggang
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
24.
STI CMP stop in Silicon Nitride controlled by FullVision?? endpoint
机译:
STI CMP停止通过FullVision控制的氮化硅??终点
作者:
Perrot C.
;
Pitard F.
;
Cui S.
;
Lam G.
;
Del Medico S.
;
Bennett D.
;
Gaillard S.
;
Hinsinger O.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
25.
CVD Diamond-Coated CMP Polishing Pad Conditioner With Asperity Height Variation
机译:
CVD金刚石涂层CMP抛光垫调节器,高度变化
作者:
Choi Joo Hoon
;
Lee Yong Bin
;
Kim Byung Ki
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
26.
Slurry selectivity influence on STI and POP processes for RMG application
机译:
浆料选择性对RMG应用的STI和POP过程的影响
作者:
Euvrard C.
;
Perrot C.
;
Seignard A.
;
Dettoni F.
;
Rivoire M.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
27.
Topography Understanding of Tungsten Chemical Mechanical Polishing for Advanced Technology
机译:
地形了解钨化工机械抛光针对先进技术
作者:
Yu Hong
;
Moon Yongsik
;
Liu Huang
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
28.
Haze used as wafer, die and intra-die indirect characterization technique for advanced CMP processes on patterned wafers
机译:
用于在图案化晶片上的高级CMP工艺用作晶片,模具和模具间接关节技术的雾霾
作者:
Dettoni F.
;
Beitia C.
;
Euvrard C.
;
Morand Y.
;
Gaillard S.
;
Hinsinger O.
;
Bertin F.
;
Rivoire M.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
29.
Slurry Abrasive Particle Agglomeration Experimentation and Modeling for Chemical Mechanical Planarization (CMP)
机译:
浆料磨料颗粒聚集实验和建模化学机械平坦化(CMP)
作者:
Johnson Joy M.
;
Boning Duane S.
;
Kim Gwang-Soo
;
Safier Paul
;
Knutson Karson
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
30.
Application of an Abrasive-Free Cu Slurry for MEMS Devices
机译:
无磨料Cu浆料在MEMS器件中的应用
作者:
Steible Benjamin
;
Stoldt Michael
;
Tack Michael
;
Zwicker Gerfried
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
31.
Performance of a Novel Slurry Injection System on an Ebara F-REX200 Polisher for a Silicon Dioxide CMP Application
机译:
用于二氧化硅CMP应用的EABARA F-REX200抛光机上的新型浆料注射系统的性能
作者:
Borucki Leonard
;
Zhuang Yun
;
Sampurno Yasa
;
Philipossian Ara
;
Kreutzer-Schneeweiss Sascha
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
32.
Development of chemical mechanical polishing process for carbon nanotube interconnects on 300 mm wafer
机译:
300mm晶片上碳纳米管互连化学机械抛光工艺的开发
作者:
Ito Ban
;
Nishide Daisuke
;
Matsumoto Takashi
;
Katagiri Masayuki
;
Saito Tatsuro
;
Wada Makoto
;
Watanabe Masahito
;
Sakuma Naoshi
;
Kajita Akihiro
;
Sakai Tadashi
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
33.
CMP process development for high mobility channel materials
机译:
高迁移渠道材料的CMP工艺开发
作者:
Ong Patrick
;
Gillot Christophe
;
Ansar Sheik
;
Noller Bastian
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
34.
Slurry Development for Copper/Barrier CMP
机译:
铜/屏障CMP的泥浆开发
作者:
Zhang Baoguo
;
Liu Yuling
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
35.
Smart pad dressing for double-side polishing
机译:
智能垫敷料,用于双面抛光
作者:
Kanzow J.
;
Werth S.
;
Moersch G.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
36.
Microreplicated Pad Conditioner for Copper Barrier CMP Applications
机译:
用于铜屏障CMP应用的微塑垫护发件
作者:
Zabasajja John
;
Le-huu Duy
;
Gould Charles
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
37.
Improvements in Profile Control using ISPC?? System During the Stop-in- Oxide CMP Step in the RMG Process Flow on IBM 20nm Short-Loop Wafers
机译:
使用ISPC进行简介控制的改进?在IBM 20nm短路晶片上的RMG工艺流程中停止氧化物CMP步骤中的系统
作者:
Komarenko P.
;
Qian J.
;
Salfelder J.
;
Levedakis D.
;
Economikos L.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
38.
CMP Defect Monitoring in HKMG Loop on Monitor Wafers
机译:
Monitor晶片上的HKMG环路中的CMP缺陷监控
作者:
Peng Ren
;
Hsu Chun Wei
;
Hsieh Duckblood
;
Lin Welch
;
Huang Climbing
;
Wu JY
;
Palamadai Chandar
;
Sapre Prasanna
;
Chang Timothy
;
Wang Tony
;
Huang Eros
;
Cheng Harvey
;
Hu Debbie
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
39.
Interferometry: a direct die level characterization technique
机译:
干涉测量:直接模级表征技术
作者:
Dettoni F.
;
Beitia C.
;
Morand Y.
;
Euvrard C.
;
Balan V.
;
Peak J.
;
Gaillard S.
;
Hinsinger O.
;
Bertin F.
;
Rivoire M.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
40.
Friction Monitoring of Conventional Diamond Conditioner and Its Application
机译:
常规金刚石调节剂的摩擦监测及其应用
作者:
Hojoong Kim
;
Donghyun Lim
;
Byungil Lee
;
Hasub Hwang
;
Sunjae Jang
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
41.
Optimal Shape of Retainer Ring Considering Edge Exclusion and Slurry Film Thickness
机译:
考虑边缘排除和浆料薄膜厚度的保持环的最佳形状
作者:
Jinwoo Park
;
Cheolmin Shin
;
Taesung Kim
;
Hongyi Qin
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
42.
ELLIPSOMETRIC MEASUREMENT OF PARTICLE-SPIKED WAFER SURFACES
机译:
粒子掺入晶片表面的椭圆测量测量
作者:
Eiichi KONDOH
;
Hayato KOTAGIRI
;
Mitsuhiro WATANABE
;
Satomi HAMADA
;
Shohei SHIMA
;
Hirokuni HIYAMA
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
43.
Normal force and behavior of containing water during the compression of PVA brush
机译:
PVA刷子压缩过程中含水的正常力和行为
作者:
Kenya Nishio
;
Toshiyuki Sanada
;
Akira Fukunaga
;
Hirokuni Hiyama
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
44.
Non-uniformity and Removal Rate Selectivity Investigations in Through Silicon Via Front Side CMP
机译:
通过前侧CMP通过硅的非均匀性和去除率选择性研究
作者:
Can Rao
;
Tongqing Wang
;
Jie Cheng
;
Hongkai Li
;
Haoming Sun
;
Xinchun Lu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
45.
A STUDY OF SURFACE DEFECTS OF GaN DURING CMP PROCESS
机译:
CMP过程中GaN的表面缺陷研究
作者:
Zou Chunli
;
Pan Guoshun
;
Gong Hua
;
Xu Li
;
Zhou Yan
;
Liu Yuhong
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
46.
Study on effect of back-surface treatment of silicon wafer in photo lithography process after CMP process
机译:
CMP工艺后光刻工艺硅晶片背面处理的影响研究
作者:
Ki Min
;
Sungmin Kang
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
关键词:
Local focus;
Focus spot;
Back surface particle;
BST;
Photo lithography;
Defect;
47.
Effect of photocatalytic oxidation technology on GaN CMP
机译:
光催化氧化技术对GaN CMP的影响
作者:
Jie Wang
;
Tongqing Wang
;
Jie Cheng
;
Hongkai Li
;
Can Rao
;
Xinchun Lu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
48.
Effects of Silica Abrasive Size on Sapphire CMP Performances and Their Removal Mechanisms
机译:
二氧化硅磨料大小对蓝宝石CMP性能的影响及其去除机制
作者:
Yan Zhou
;
Guoshun Pan
;
Xiaolei Shi
;
Hua Gong
;
Chunli Zou
;
Li Xu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
49.
Study of inhibition mechanism of glycine on the electrochemical behavior of Mo in the alkaline slurry
机译:
甘氨酸抑制机制研究碱性浆料中MO电化学行为的研究
作者:
Guang Yang
;
Hui Feng
;
Xu Wang
;
Xin-Ping Qu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
50.
Investigation on Cu Height Process Control Method in BEOL Cu CMP
机译:
BEOL CU CMP中Cu高程过程控制方法的研究
作者:
Ji Chul Yang
;
Daniel Scheffler
;
Gerett Yocum
;
Jason Mazzotti
;
Mark Dougherty
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
51.
Planarization Improvement Using Non-porous Polishing Pad in ILD CMP
机译:
在ILD CMP中使用无孔抛光垫进行平坦化改进
作者:
Che-Chin Yang
;
Kai En Lin
;
Wei-Nan Fang
;
Jian-Shiun Chen
;
Yi-Ching Wu
;
Yung-Chieh Kuo
;
Hung-Bo Lu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
52.
Planarization Limbo with Silicon Wafers
机译:
用硅晶片平坦化泥
作者:
Dan Trojan
;
Marie Mitchel
;
Christopher Shumway
;
Paul Feeney
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
53.
Repulsive Effect between Abrasives during CMP Process
机译:
CMP过程中研磨剂之间的令人厌恶的影响
作者:
Yen Tao Tseng
;
Peng Hsin Wang
;
Ming Che Ho
;
Song Yuan Chang
;
Ming Hui Lu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
54.
Application of a Novel Conditioning Platform to a Tungsten Polishing Process
机译:
一种新型调节平台在钨抛光过程中的应用
作者:
William Bellamak
;
A. Scott Lawing
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
55.
Formation of Dot Patterns with Particles using Inkjet Method to Examine and Inspect Performance of CMP Cleaning Process
机译:
使用喷墨方法与粒子形成点图案,以检查和检查CMP清洁过程的性能
作者:
Shohei Shima
;
Satomi Hamada
;
Yutaka Wada
;
Chikako Takatoh
;
Akira Fukunaga
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
56.
Study on innovative plasma fusion CMP and its application to processing of diamond substrate
机译:
创新血浆融合CMP及其在钻石基板加工中的应用研究
作者:
Hideaki Nishizawa
;
Toshiro K. Doi
;
Seongwoo Kim
;
Syuhei Kurokawa
;
Koki Oyama
;
Hideo Aida
;
Yasuhisa Sano
;
Chengwu Wan
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
57.
QUALIFICATION OF A CERIA SLURRY RECLAIM SYSTEM FOR SHALLOW TRENCH ISOLATION POLISH IN HIGH VOLUME MANUFACTURING
机译:
高批量生产浅沟隔离抛光器的仪式
作者:
Tito Tang
;
Pete Beckage
;
Greg Westhauser
;
Clayton Judd
;
Allen Evans
;
Larry Kuehn
;
Bret Rhea
;
Alejandro Guerra
;
Greg Goodwin
;
Brad Yellitz
;
Franz Brummer
;
Andreas Wunderlich
;
Scott Ray
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
58.
The Elastic Impact of Polishing Pad for Sapphire Polishing
机译:
蓝宝石抛光抛光垫的弹性冲击
作者:
Katsumasa Kawabata
;
Keiichiro Fujimoto
;
Kazutaka Miyamoto
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
59.
Efficient Development of Post-CMP Cleans for Ceria Slurries
机译:
CIDIA浆液后CMP清洁的高效开发
作者:
Peter Wrschka
;
Steve Medd
;
Robert Green
;
Paul Feeney
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
60.
INVESTIGATION OF CMP ON GaN SUBSTRATE FOR LED MANUFACTURING
机译:
LED制造中CMP对GAN衬底的研究
作者:
Hua Gong
;
Guoshun Pan
;
Dan Guo
;
Yuhong Liu
;
Chunli Zou
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
61.
A Novel Platform for Next Generation Pad Conditioning
机译:
下一代焊盘调理的新颖平台
作者:
Elbert Chou
;
A. Scott Lawing
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
62.
Novel CMP Slurry for Polishing Silicon Containing Materials
机译:
用于抛光硅材料的新型CMP浆料
作者:
Chun-Chieh Lee
;
Yun-Lung Ho
;
Song-Yuan Chang
;
Ming-Hui Lu
;
Ming-Che Ho
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
63.
Next Generation Composite, Rigid Filter for Chemical Mechanical Planarization
机译:
下一代复合材料,刚性滤波器进行化学机械平面化
作者:
John Morby
;
Majid Entezarian
;
Bob Gieger
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
64.
Brownian diffusion analysis for nano-abrasives in CMP slurry by using fluorescence polarization method
机译:
用荧光极化法使用荧光偏振法在CMP浆料中纳米磨料的布朗扩散分析
作者:
Terutake Hayashi
;
Seri Toshiki
;
Syuhei Kurokawa
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
65.
In-line Refractive Index Monitoring for CMP Slurry Fault Detection
机译:
CMP浆料故障检测的在线折射率监测
作者:
Carlo Dominic Aparece
;
Robert Johnston
;
Marcus Kavaljer
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
66.
Challenges in Post CMP in-situ Cleaning for Sub-14nm Device Yield Enhancement
机译:
Sub-14nm设备产量增强后CMP原位清洁挑战
作者:
Hong Jin Kim
;
Tae Hoon Lee
;
Liqiao Qin
;
Sumeet Kashyap
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
67.
Chemical Mechanical Planarization Studies on Gallium Nitride for Improved Performance
机译:
氮化镓改进性能的化学机械平面化研究
作者:
Ayse Karagoz
;
G. Bahar Basim
;
Max Siebert
;
Leonardus A. H. Leunissen
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
68.
Active Dummy Generation for Homogeneity Increase in a 130 nm SiGe-BiCMOS Process
机译:
主动虚拟生成为130 nm SiGe-Bicmos过程中的均匀性增加
作者:
Marco Lisker
;
Andreas Kruger
;
Egbert Matthus
;
Andreas Trusch
;
A. Mai
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
69.
Post Cleaning and Defect Reduction for Tungsten Chemical Mechanical Planarization
机译:
钨化学机械平面化清洁干净和缺陷减少
作者:
Wei-Tsu Tseng
;
James Hagan
;
Kaushik Mohan
;
Ricky Hull
;
James MacDougall
;
Richard Murphy
;
Steven Molis
;
Leo Tai
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
关键词:
W CMP;
RMG;
Post cleaning;
70.
Conditioner Characterization and Implementation for Impacts of Diamonds on CMP Pad Texture and Performance
机译:
钻石对CMP焊盘纹理和性能影响的调节器表征和实施
作者:
Zhan Liu
;
John McCormick
;
Todd Buley
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
71.
Study on Evaluation Method for Surface Topography of Polishing pad Based on Optical Fourier Transform
机译:
基于光学傅里叶变换的抛光垫表面形貌评价方法研究
作者:
Keisuke Suzuki
;
Takahiro Tajiri
;
Panart Khajornrungruang
;
Yoshihiro Mochizuki
;
Hirokuni Hiyama
;
Hisanori Matsuo
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
72.
Simplification of Replacement Metal Gate CMP Metrology for FinFET
机译:
用于FinFET的替换金属门CMP计量的简化
作者:
Michael D. Wedlake
;
Adrian Santos Lopez
;
Steven Trigno
;
Patrick Aniekwu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2015年
73.
Characterization of incoming PVA brush by an ultrasonication break-in process
机译:
通过超声波破碎过程来表征传入的PVA刷子
作者:
Jung-Hwan Lee
;
Hae-Jung Pyun
;
Muthukrishnan Purushothaman
;
Nagarjuna Reddy Paluvai
;
Byoung-Jun Cho
;
Kwang-Min Han
;
Shohei Shima
;
Satomi Hamada
;
Hirokuni Hiyama
;
Jin-Goo Park
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
74.
Effect of Mixed Abrasive Slurry during Tungsten CMP Process
机译:
混合磨料浆料在钨CMP过程中的影响
作者:
Kangchun Lee
;
Jihoon Seo
;
Jinok Moon
;
Kijung Kim
;
Myeongjae Lee
;
Keungtae You
;
Ungyu Paik
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
75.
Enhancement of reaction rate of Cu film by electrolyte shot
机译:
电解质射击增强Cu膜反应速率
作者:
Dasol Lee
;
Jongwoo Lee
;
Seonho Jeong
;
Inho Park
;
Haedo Jeong
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
76.
Formation Mechanism of Cu Flake and Ring Scratch in the Advanced Device Manufacturing
机译:
高级设备制造中Cu薄片和环划痕的形成机制
作者:
Sung Pyo Jung
;
Si Gyung Ahn
;
Ji Chul Yang
;
Hong Jin Kim
;
Madhav Kalaga
;
Gerett Yocum
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
77.
The effect of Non-TMAH based post-CMP cleaning chemical on Cu CMP
机译:
基于TMAH基CMP清洗化学对Cu CMP的影响
作者:
Jaeseung Im
;
Mincheol Kang
;
Heejin Kim
;
Pyo Lim
;
Jungi Choi
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
78.
Nano-Scale Scratch Impact on 7nm Device and its Improvement by Predictable CMP Process Conditions
机译:
纳米规模对7nm设备的影响及其可预测的CMP工艺条件的改进
作者:
Ji Chul Yang
;
Dinesh Kumar Penigalapati
;
Wen Yin Lu
;
Tai Fong Cho
;
Alison Snyder
;
Dinesh Koli
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
79.
Control of Residual SiN Defects during Cap SiN CMP in Advanced Logic Device Manufacturing
机译:
高级逻辑设备制造中CAP中CMP中剩余SIN缺陷的控制
作者:
Jahyung Han
;
Xingzhao Shi
;
Changhong Wu
;
Monica Akkullu
;
Dinesh Koli
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
80.
Effects of slurry abrasives on dry film CMP
机译:
泥浆磨料对干膜CMP的影响
作者:
Minjong Yuh
;
Soocheon Jang
;
Hyoungjae Kim
;
Haedo Jeong
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
81.
Effect of slurry additives on selectivity between polymer and Cu in advanced package substrate CMP
机译:
浆料添加剂对高级包装衬底CMP聚合物与Cu的选择性的影响
作者:
Soocheon Jang
;
Minjong Yuh
;
Heado Jeong
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
82.
Effect of Guanidine Sulfate on the CMP of Ru in H_2O_2 Based Slurry
机译:
硫酸胍硫酸盐对基于H_2O_2浆液中CMP的影响
作者:
Guang Yang
;
Peng He
;
Xin-Ping Qu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
83.
Pad Surface Texture Modulation through Adapted Conditioner and Pad Intrinsic Microstructure
机译:
焊盘表面纹理通过改进的护发素和垫固有组织进行调制
作者:
Ratanak Yim
;
Daniel Scevola
;
V. Balan
;
E. Gourvest
;
F. Salvatore
;
S. Valette
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
84.
Study on the mechanisms of Si fine polishing with water-soluble polymer
机译:
水溶性聚合物Si精细抛光机理研究
作者:
Yangang He
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Si;
Fine Polishing;
Water-Soluble Polymer;
Haze;
Mechanism;
85.
The Effect of chelating Agent in TMAH Based Post Cu-CMP Cleaning Solution
机译:
螯合剂在TMAH基后Cu-CMP清洗溶液中的影响
作者:
Seongsik Jeon
;
Sanghyuk Jeon
;
Ahhyeon Lim
;
Sokho Yi
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Post CMP cleaning;
Slurry;
CMP;
Cu;
TMAH;
BTA;
86.
Atomic Insights into Material Removal Mechanisms in Si and Cu Chemical Mechanical Polishing Processes: ReaxFF Reactive Molecular Dynamics Simulations
机译:
Si和Cu化学机械抛光工艺中的原子洞察材料去除机制:Reaxff反应性分子动力学模拟
作者:
Jialin Wen
;
Tianbao Ma
;
Xinchun Lu
;
Weiwei Zhang
;
Adri C. T. van Duin
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Chemical-mechanical Polishing;
Molecular dynamics simulations;
ReaxFF;
H_2O_2;
Glycine;
Material removal mechanism;
87.
Stabilization method of transition metal catalyst for high efficiency catalyst-referred etching (CARE) of silicon carbide
机译:
碳化硅高效催化剂引用蚀刻(护理)过渡金属催化剂的稳定化方法
作者:
Daisetsu Toh
;
Ai Isohashi
;
Tatuaki Inada
;
Yuta Nakahira
;
Hideka Kida
;
Satoshi Matuyama
;
Yasuhisa Sano
;
Kazuto Yamauchi
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Functional material;
Polishing;
Step-flow-type etching;
Catalyst;
Transition metal;
Nickel;
Potential control;
88.
In-line Real-time Conductivity Technique for Monitoring of Liquid Chemical Concentration during Semiconductor Manufacturing
机译:
用于监测半导体制造过程中液体化学浓度的在线实时电导率技术
作者:
Hong Jie
;
Atul Kulkarni
;
Hyeong-U Kim
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Conductivity;
Real time;
Chemical concentration;
89.
Development of Novel Cleaning Solution for Post Chemical Mechanical Planarization Silicon Wafer
机译:
化学机械平坦化硅晶片新型清洁解决方案
作者:
Junghwan Song
;
Na Han
;
Kihong Park
;
Sokho Yi
;
Taesung Kim
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Post CMP cleaning;
Oxide film;
PE-TEOS;
Ceria slurry;
XPS analysis;
90.
Development of Advanced CMP Process for the Minimization of Hydrophobic Interaction based on the Surface Treatment Technology
机译:
基于表面处理技术的疏水相互作用的先进CMP工艺的开发
作者:
Hyuk-Min Kim
;
Jee-Hwan Heo
;
Jung-Eun Kang
;
Seung-Ho Park
;
Jong-Hyuk Park
;
Il-Young Yoon
;
Bo-Un Yoon
;
Seok-Woo Nam
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
91.
Highly Efficient Cleaning Formulations for Removing Ceria Slurry Residues in Post-CMP Applications
机译:
高效清洗配方,用于去除CMP后应用中的二氧化铈浆料残留物
作者:
Paul Bernatis
;
Jhih-Fong Lin
;
Pei-Yu Tai
;
Yi-Han Lin
;
Chia-Hui Bai
;
Yi-Hao Tseng
;
Akira Kuroda
;
Chi Yen
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Post-CMP;
Ceria;
STI;
Cleaning;
92.
Optimization of WC-Co Composition for CVD Diamond Pad Conditioner
机译:
CVD金刚石垫护发机WC-CO组合物的优化
作者:
Myeong-Jun Kim
;
Heon-Yul Ryu
;
Jung-Hwan Lee
;
Ji-Woo Kim
;
Sooji Cho
;
Dabin Hyun
;
Hae-geun Jee
;
Jin-Goo Park
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Chemical mechanical planarization;
Pad conditioner;
WC-Co alloy;
Hot-filament CVD diamond film;
Wear resistance;
93.
The effect of surface charge using amino acid and cationic surfactant for high material removal rate (MRR)
机译:
使用氨基酸和阳离子表面活性剂的表面电荷对高材料去除率(MRR)的影响
作者:
Hanna Sun
;
Ye-Chan Kim
;
In-Kyung Park
;
Jae-Da Nam
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
High removal rate;
Surface charge;
Surfactants;
Chemical-mechanical Polishing;
94.
CMP Process for Wafer Backside Planarization
机译:
晶圆背面平面化的CMP工艺
作者:
Andreas Kruger
;
Marco Lisker
;
Andreas Trusch
;
Andreas Mai
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Planarization;
Chemical-mechanical Polishing;
ICPT 2017;
Wafer Backside;
Surface Roughness;
Wafer Bonding;
95.
Dummy Gate Amorphous Silicon CMP Using In-situ Profile CLC Endpoint System for Advanced FinFET
机译:
伪门非晶硅CMP使用原位配置文件CLC端点系统,用于高级FinFET
作者:
Diana Tsvetanova
;
Takeshi Iizumi
;
Ban Ito
;
Gael Royere
;
Fabien Durix
;
Katia Devriendt
;
Patrick Ong
;
Herbert Struyf
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
A-Si CMP;
SOPM CLC;
FinFET;
96.
Advanced Optical Particle Sizing for Non-Invasive Slurry analysis
机译:
用于非侵入性浆料分析的先进光学粒子尺寸
作者:
Rashid Mavliev
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
Planarization;
Chemical-mechanical Polishing;
Slurry;
Particles;
Optical;
Sizing;
97.
Development of Modularized Electrode in Electro-Kinetic Force Assisted Chemical Mechanical Planarization for Through-Silicon-Via Wafer Planarization
机译:
通过晶圆平坦化的电动动力辅助化学机械平坦化模块化电极的研制
作者:
Chao-Chang A. Chen
;
Min-Yue Xue
;
Yu-Ming Lin
;
Wei-Chin W. Pu
会议名称:
《International Conference on Planarization/CMP Technology》
|
2017年
关键词:
EKF-CMP;
Cu CMP;
TSV-CMP;
Removal Rate;
Dishing;
98.
Particle Reduction in W-CMP Process through Optimizing Post Cleaner
机译:
通过优化清洁剂的W-CMP工艺减少
作者:
Kim Nam Yun
;
Kim Kuen Byul
;
Jang Young Seok
;
Lee Jae Chang
;
Hong Jin Suk
;
Baek Kye Hyun
;
Kim Hee Seok
;
Cho Han Ku
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
99.
Effect of Slurry Chemistry on W CMP Performance
机译:
浆料化学对W CMP性能的影响
作者:
Kang Mincheol
;
Kim Kyungbo
;
Jung Taeyeon
;
Park Hyungsoon
;
Kim Hyunghwan
;
Kang Hyosang
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
100.
Challenges of CMP Consumables Metrology
机译:
CMP消耗品计量的挑战
作者:
Tregub A.
;
Rawat A.
会议名称:
《International Conference on Planarization/CMP Technology》
|
2012年
意见反馈
回到顶部
回到首页