首页> 外文期刊>Microelectronic Engineering >Overview of Cu contamination during integration in a dual damascene architecture for sub-quarter micron technology
【24h】

Overview of Cu contamination during integration in a dual damascene architecture for sub-quarter micron technology

机译:用于亚四分之一微米技术的双镶嵌结构集成过程中的铜污染概述

获取原文
获取原文并翻译 | 示例
           

摘要

A detailed study of copper contaminating steps performed during integration of multilevel Cu metallisation in dual damascene architecture has been performed. Contamination at the wafer back and the bevel edge should make it difficult to use the same equipment for conventional technology and new copper based technology. Several barrier materials have been claimed as efficient against copper diffusion. However, during process integration, contamination issues will be faced before deposition of the barrier layers. Heavy contamination can occur either during Cu chemical mechanical polishing (CMP) or during dielectric etching and via opening on top of contacted copper lines. These residues, concentrated at the dielectric surface, could result in current leakage and shorts between interconnection lines. Several cleaning solutions to remove metal contamination are reviewed and their efficiencies are compared.
机译:在双镶嵌结构中集成多级Cu金属化过程中执行的铜污染步骤的详细研究已经进行。晶片背面和斜边的污染将使传统技术和新铜基技术难以使用相同的设备。几种阻挡材料被认为对铜扩散有效。然而,在工艺集成期间,在沉积阻挡层之前将面临污染问题。在铜化学机械抛光(CMP)或电介质蚀刻过程中以及通过接触的铜线顶部的开口可能会发生严重污染。这些残留物集中在电介质表面,可能导致电流泄漏和互连线之间的短路。综述了几种去除金属污染的清洁解决方案,并比较了它们的效率。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号