首页> 外文期刊>International Journal of Electrical Engineering Education >Instructional tools for designing and analysing a very simple CPU
【24h】

Instructional tools for designing and analysing a very simple CPU

机译:用于设计和分析非常简单的CPU的教学工具

获取原文
获取原文并翻译 | 示例
       

摘要

The Very Simple CPU is an instructional aid developed to introduce students to the process of designing a microprocessor. It allows students to focus on design principles without becoming overwhelmed with complex design specifications. This paper describes the CPU and two tools used to teach students about CPU design, VHDL implementations and a Java-based simulator.
机译:Very Simple CPU是一种教学辅助工具,旨在向学生介绍微处理器的设计过程。它使学生​​能够专注于设计原理,而不会因复杂的设计规范而感到不知所措。本文介绍了CPU和两个用于教给学生有关CPU设计的工具,VHDL实现和一个基于Java的模拟器。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号