首页> 外文学位 >A comprehensive memory modeling tool for design and analysis of future memory hierarchies.
【24h】

A comprehensive memory modeling tool for design and analysis of future memory hierarchies.

机译:一个全面的内存建模工具,用于设计和分析未来的内存层次结构。

获取原文
获取原文并翻译 | 示例

摘要

This thesis describes CACTI-D, a memory modeling modeling tool that supports both SRAM and DRAM circuits and technologies. With CACTI-D it is possible to project area, access time, cycle time, dynamic read and write energies per access, and standby leakage power of memories and caches for technology nodes between 90nm and 32nm. CACTI-D supports SRAM, logic process based DRAM (LP-DRAM) and commodity DRAM (COMM-DRAM) technologies. CACTI-D also supports the modeling of main memory DRAM chips. Thus with CACTI-D, modeling of the complete memory hierarchy with consistent models all the way from SRAM based L1 caches through main memory DRAMs on DIMMs becomes possible.;CACTI-D is based on the well-known memory and cache modeling tool CACTI. We borrow key circuit models from CACTI but revamp CACTI-D from the ground up with a complete rewrite of the source code. We incorporate a new technology foundation into CACTI-D with device data based on the ITRS roadmap. We incorporate device data for different ITRS device types such as high performance (HP), low standby power (LSTP) and low operating power (LOP). We also incorporate data for interconnect technology based on well-documented models and data from the literature.;We have validated CACTI-D by comparing its projections against real designs. For SRAM validation, we compare against two prominent 90nm and 65nm SRAM caches and for DRAM validation, we compare against a 78nm DDR3 DRAM chip. Taking into account the extremely generic nature of CACTI-D, there is good agreement between the projections produced by CACTI-D and the published data.;We illustrate the potential applicability of CACTI-D in the design and analysis of future memory hierarchies by carrying out a last level cache study for a multicore multithreaded architecture at the 32nm technology node. In this study we use CACTI-D to model all components of the memory hierarchy including L1, L2, last level SRAM, LPDRAM or COMM-DRAM based L3 caches, and main memory DRAM chips. We carry out architectural simulation using benchmarks with large data sets and present results of their execution time, breakdown of power in the memory hierarchy, and system energy-delay product for the different system configurations. We find that COMM-DRAM technology is most attractive for stacked last level caches, with significantly lower energy-delay products.
机译:本文介绍了一种支持SRAM和DRAM电路与技术的存储器建模建模工具CACTI-D。借助CACTI-D,可以为90nm至32nm之间的技术节点投影面积,访问时间,循环时间,每次访问的动态读写能量以及存储器和高速缓存的待机泄漏功率。 CACTI-D支持SRAM,基于逻辑过程的DRAM(LP-DRAM)和商用DRAM(COMM-DRAM)技术。 CACTI-D还支持主存储器DRAM芯片的建模。因此,使用CACTI-D,就可以从基于SRAM的L1缓存一直到DIMM上的主内存DRAM,通过一致的模型对整个内存层次进行建模。; CACTI-D基于著名的内存和缓存建模工具CACTI。我们从CACTI借用了关键电路模型,但通过完全重写源代码从头彻底改造了CACTI-D。我们将基于ITRS路线图的设备数据纳入CACTI-D的新技术基础。我们为不同的ITRS设备类型合并了设备数据,例如高性能(HP),低待机功率(LSTP)和低工作功率(LOP)。我们还基于充分记录的模型和来自文献的数据,结合了互连技术的数据。对于SRAM验证,我们将其与两个著名的90nm和65nm SRAM缓存进行比较,而对于DRAM验证,我们将其与78nm DDR3 DRAM芯片进行比较。考虑到CACTI-D的极其通用的性质,CACTI-D产生的预测与已发布的数据之间有很好的一致性。;我们通过携带CACTI-D来说明CACTI-D在设计和分析未来内存层次结构方面的潜在适用性在32nm技术节点上针对多核多线程体系结构进行了最后一级的缓存研究。在这项研究中,我们使用CACTI-D对存储器层次结构的所有组件进行建模,包括L1,L2,最后一级SRAM,基于LPDRAM或COMM-DRAM的L3高速缓存以及主存储器DRAM芯片。我们使用具有大型数据集的基准进行架构仿真,并给出它们的执行时间,内存层次结构中的电源故障以及不同系统配置的系统能耗产品的结果。我们发现COMM-DRAM技术对于堆栈式末级高速缓存最有吸引力,其能量延迟产品明显更低。

著录项

  • 作者

    Thoziyoor, Shyamkumar.;

  • 作者单位

    University of Notre Dame.;

  • 授予单位 University of Notre Dame.;
  • 学科 Engineering Electronics and Electrical.;Computer Science.
  • 学位 Ph.D.
  • 年度 2008
  • 页码 170 p.
  • 总页数 170
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号