首页> 外文会议>ACM/ESDA/IEEE Design Automation Conference >SOTERIA: Exploiting Process Variations to Enhance Hardware Security with Photonic NoC Architectures
【24h】

SOTERIA: Exploiting Process Variations to Enhance Hardware Security with Photonic NoC Architectures

机译:典科典科:利用Photonic NoC架构增强硬件安全的过程变化

获取原文

摘要

Photonic networks-on-chip (PNoCs) enable high bandwidth on-chip data transfers by using photonic waveguides capable of dense-wavelength-division-multiplexing (DWDM) for signal traversal and microring resonators (MRs) for signal modulation. A Hardware Trojan in a PNoC can manipulate the electrical driving circuit of its MRs to cause the MRs to snoop data from the neighboring wavelength channels in a shared photonic waveguide. This introduces a serious security threat. This paper presents a novel framework called SOTERIA?that utilizes process variation based authentication signatures along with architecture-level enhancements to protect data in PNoC architectures from snooping attacks. Evaluation results indicate that our approach can significantly enhance the hardware security in DWDM-based PNoCs with minimal overheads of up to 10.6% in average latency and of up to 13.3% in energy-delay-product (EDP).
机译:光子网络上的电路(Pnocs)通过使用能够具有致密波长分流(DWDM)的光子波导来实现高带宽片上数据传输,用于信号遍历和微耦合器(MRS)进行信号调制。 PNOC中的硬件特洛伊可以操纵其MRS的电驱动电路,使MRS从共享光子波导中的相邻波长信道中窥探数据。这引入了严重的安全威胁。本文提出了一部名为典型典科典礼的新框架它利用基于过程变化的身份验证签名以及架构级增强功能,以保护Pnoc架构中的数据免受侦听攻击。评估结果表明,我们的方法可以显着提高基于DWDM的PNOC中的硬件安全性,其平均延迟的最小开销高达10.6 %,并且在能量 - 延迟 - 产品(EDP)中高达13.3 %。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号